전체 (검색결과 약 6,659개 중 64페이지)

 논리회로 실험 - IC패키지 실험 ( 7Pages )
1. 실험 목적 AND Gate, OR Gate, NAND Gate, NOR Gate의 IC패키지 코드를 알아내고 이에 LED를 연결하여 입력에 따른 출력을 알아본다. 2. 자료 조사 A, B X 0, 0 0 0, 1 0 1, 0 0 1, 1 1 AND Gate 또는 2-Input AND 게이트에 대한 진리표 AND 게이트는 모든 입력이 HIGH(1)일 때만 출력이 HIGH(1)로 되고, 한 개의 입력이라도 LOW(0)이면 출력은 LOW(0)로 된다. 따라서 AND 게이트는 어떤 조건들이 ..
리포트 > 공학/기술 |
 영문 차관계약서2(LOAN AGREEMENT) ( 44Pages )
LOAN AGREEMENT(2) THIS AGREEMENT date the () day of () nineteen hundred and ninety-five BETWEEN (1) ()a company duly incorporated and validly existing with good standing under the laws of () and having its (registered) (principal) office at () (the Borrower) (2) () ( the Bank) WHEREAS : () NOW IT IS HEREBY AGREED as follows : Article 1. Definitions ..
서식 > 계약서 |
 임상운동학 - 고관절과 골반[Hip and Pelvic Region]의 골격 근육에 대해서 ( 6Pages )
고관절과 골반(Hip and Pelvic Region)♪ ♣고관절 고관절은 엉덩관절 이라고도 한다. 골반의 관골구(寬骨臼:關節窩)와 대퇴골두 사이에 끼어 있는 관절로 팔의 어깨관절에 해당하는 관절이다. 인체에서 운동성이 많으면서 구조적으로 가장 안정된 관절이다. 고관절은 체간과 지면 사이에 큰 힘을 전달하며 또한 이행작용의 중요한 구성요소이다. 기어오르기나 의자에서 일어날 때 몸을 들어올리고 내리는데..
리포트 > 의/약학 |
 영문 설비공급계약서(장비) ( 12Pages )
PLANT SUPPLY AGREEMENT This plant Supply Agreement(theAgreement) is made and entered into this ___ day of by and between ___,a corporation organized and existing under the laws of ___ having its registered office at ___ (purchaser) and ___,a corporation organized and existing under the laws of the Republic of Korea having its registered office at ___ (Supplier) WITNESSETH..
서식 > 계약서 |
 대한민국과()간의이중과세방지협약적용대상거주자증명서발급신청서_ApplicationforCertificationofResidencefor the purpose of the Double Taxation Conventionbetween the Republic of Korea and () ( 1Pages )
대한민국과 ( )간의 이중과세방지협약 적용대상 거주자증명서 발급신청서 Application for Certification of Residence for the purpose of the Double Taxation Convention between the Republic of Korea and () 1. 소득자 Beneficiary ① 주소 Address ②상호 Name of Company (Fill in if the Recipient is not individual) ③성명 Name ④사업자등록번호(주민등록번호) Taxpayer Ident..
서식 > 세무회계서식 |
 Mutual Rescission of Contract ( 1Pages )
Mutual Rescission of Contract This Agreement of mutual rescission of a contract made and entered into this day of , 20 , by and between (party 1) and (party 2). The parties hereby mutually acknowledge and agree that: 1. On , 20 , the parties entered into a contract, which is attached and marked Exhibit A. 2. The parties to that contract and to this agreement of mu..
서식 > 계약서 |
 하나투어,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례 ( 12Pages )
World View Travel Inc. AND HANA Tour 1. ABOUT World view travel ▷Established by Rene Townsend and her husband ▷ Premier travel company ▷ Located in a southern city ▷ The largest travel agency in town 2. Targeting ....
리포트 > 경영/경제 |
 영문 플랜트수출계약서 ( 12Pages )
PLANT SUPPLY AGREEMENT This plant Supply Agreement(the Agreement) is made and entered into this day of by and between ,a corporation organized and existing under the laws of having its registered office at (purchaser) and ,a corporation organized and existing under the laws of the Republic of Korea having its registered office at (Supplier) WITNESSETH : WHEREAS, Supp..
서식 > 외국어서식 |
 선하증권 ( 1Pages )
선하증권 (BILL OF LADING) SHIPPER/EXPORTER 송하인의 성명, 상호를 입력하세요. DOCUMENT NO. EXPORT REFERENCES CONSIGNEE 신용장상에 명기된 문구를 입력하세요. FORWARDING AGENT REFERENCES POINT AND COUNTRY OF ORIGIN NOTIFY PARTY 신용장개설 의뢰인을 입력하세요. DOMESTIC ROUTING/EXPORT INSTRUCTIONS RE.CARRIAGE BY PLACE OF RECEIPT 화물수취장소를 입력하세요. ONWARD I..
서식 > 회사서식 |
 원산지증명서 ( 1Pages )
원산지증명서입니다. 1.Seller 2.Consignee 3.Particulars of Transport (where required) 4.Buyer (if other than consignee) 5.Country of Origin 6.Invoice Number and Date 7.Shipping Marks 8.Number and kind of Packages:Description of Goods 9.Quantity. Gross weight or Measurement 10.Other Information
서식 > 행정민원서식 |
원산지, 증명서, 원산지증명서
 전기전자 - 부울 대수 개념 ( 6Pages )
♣ 부울 대수 개념 1854년에 발표된 사고의 법칙 에서 AND, OR, NOT이라는 연산자를 사용해 이진 정보를 처리할 수 있는 모델로 논이적인 판단을 수학적으로 해서하기 위해 영국의 수학자 George Boole이 제안한이론 이다. 정보를 참 또는 거짓 이라는 두 개의 논리로 표현 부울대수의 변수는 0또는 1의 값을 갖는다. 부울 함수는 AND, OR, NOT연산자와 (, +로 정의되며 연산 결과는 언제나 1. 또는 0으로 표..
리포트 > 자연과학 |
 플랜트수출계약서예시 ( 12Pages )
<플랜트 수출계약서 예시> SUPPLY AGREEMENT This Supply Agreement (the “Agreement”) is made and entered into this day of by and between ,a corporation organized and existing under the laws of having its registered office at (“Purchaser”) and ,a corporation organized and existing under the laws of the Republic of Korea having its registered office at (“Supplier”) WITNES..
서식 > 계약서 |
 기초회로실험 - Oscilloscope and Function Generator ( 4Pages )
기초회로실험 - Oscilloscope and Function Generator 1.서론 (1) 오실로스코프    오실로스코프란 함수 발생기로부터 받은 시간에 따른 입력전압의 변화를 화면에 출력하는 장치이다. 전기진동이나 펄스처럼 시간적 변화가 빠른 신호를 관측한다. (2) 함수 발생기 함수 발생기란 아날로그 컴퓨터의 비선형 연산기의 하나이다. 절선 근사 연산기, 광전 함수 발생기, 서보 함수 발생기 등이 있으며, ..
리포트 > 공학/기술 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 LivingWillDeclarationof(FullLegalName) ( 1Pages )
Living Will Declaration of (Full Legal Name) Declaration made this ____ day of ___, 20 . I, (Declarant's Full Legal Name), being at least eighteen (18) years of age and of sound and disposing mind, willfully and voluntarily make known my desires that my dying shall not be artificially prolonged under the circumstances set forth below. I further declare: . . 중략 ..
서식 > 법률서식 |
61 62 63 64 65 66 67 68 69 70