전체 (검색결과 약 2,478개 중 4페이지)

 [현황과전망] UWB국내표준화현황 표준화동향 ( 9Pages )
UWB 표준화 현황 IEEE802.15.3a UWB 표준 중단 WiMedia 표준과 UWB 포럼 표준으로 양분 시장 선점을 통한 독자 표준 추진 Bluetooth UWB표준 Bluetooth SIG는 UWB 기술의 Bluetooth 3.0규격을 2007년까지 완료 예정 MB-OFDM방식 MBOA중심 [Intel, TI, Wisair, Staccato Samsung, etc] 최종 후보 MB-OFDM 방식 DS-CDMA 방식 Confirmation Voting 2006.1 IEEE 802.15 TG3a 해제 결의 MB-OFDM/ DS-CDMA ..
비지니스 > 기타 |
 복잡한 회로 설계 - [VHDL] 4비트 가산기 설계 ( 6Pages )
DESIGN REPORT 복잡한 회로 설계 - 4비트 가산기 - 과 목 : 학 과 : 학 번 : 이 름 : 제출일자: 1. 4bit Adder 소개 4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 1100 = 10111이다. 기본적인 4비트 병렬 가산기는 4개의 전가산기로 구성된다. 두 개의 입력 신호는 , 로 주어지며, 각 가산기의 캐리 출력은 다음 상위 가산기의 캐리 입력이 된다. 2. 설계 ..
리포트 > 공학/기술 |
 전력인입시방서 ( 8Pages )
전력 인입 시방서 1. 일반사항 1.1 적용범위 이 시방서는 특별고압, 고압 및 저압전력인입 공사에 적용한다. 1.2 관련시방 이 공사와 관련이 있는 사항중 이 시방서에서 언급된 것 이외의 사항은다음 시방서의 해당 사항에 따른다. 20210 콘크리트 60210 배관 60220 배선 60320 접지 1.3 적용규준 다음 규준은 이 시방서에 명시되어 있는 범위 내에서 이 시방서의 일부를 구성하고 있는 ..
정보/기술 > 설계/사양서 |
 RECOMMENDATION ( 1Pages )
RECOMMENDATION Feb. 25, 2000 To whom it may concern This is a letter of recommendation for Mr. --- who is appling for the admission to your graduate school. I am an Associate Professor in the Department of Control and Instrumentation Engineering, --- University. I joined my Department in September, 1986. In 1985, I received the Ph.D. degree in computer, information..
서식 > 회사서식 |
 영문 추천장 ( 1Pages )
RECOMMENDATION Feb. 25, 2000 To whom it may concern This is a letter of recommendation for Mr. who is appling for the admission to your graduate school. I am an Associate Professor in the Department of Control and Instrumentation Engineering, University. I joined my Department in September, 1986. In 1985, I received the Ph.D. degree in computer, information, and co..
서식 > 생활서식 |
 디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인 ( 6Pages )
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory * 전파지연시간(propagation delay) 신호 값의 변화가 입력에서 출력까지 전달되는 데 걸리는 시간 작동시간은 전파지연의 역의 관계임 논리설계에서 가장 중요한 사항임 하강지연시간(t..
리포트 > 공학/기술 |
 와이브로 개념과 등장배경, 경제적 효과 ( 3Pages )
요약 와이브로는 휴대폰처럼 언제 어디서나 이동하면서 초고속인터넷을 이용할 수 있는 서비스로, 와이브로는 무선랜과 같이 와이브로 단말기를 설치하면 이동하는 자동차 안이나 지하철에서도 휴대폰처럼 자유롭게 인터넷을 이용할 수 있다. 또 와이브로는 한국정보통신기술협회를 중심으로 표준화를 추진하는 한편, 국제전기전자기술협회(IEEE)에도 반영하는 등 한국이 국제 표준화를 주도하고 있는 3.5..
리포트 > 경영/경제 |
 와이파이 분석 ( 17Pages )
와이파이(Wi-Fi) [목차] 와이파이란 와이파이의 특성 와이파이의 통신속도 와이파이의 용도 와이파이 인증단체 마치며 와이파이란 와이파이란 Wireless Fidelity의 약자로, 무선 접속장치(AP : Access Point)가 설치된 곳에서 전파나 적외선 전송 방식을 이용하여 일정거리 안에서 무선인터넷을 할 수 있는 근거리통신망을 칭하는 기술. 쉽게말해서 컴퓨터를 인터넷 선과연결해서 쓰는 것과..
리포트 > 공학/기술 |
 [전자계산학] ARP(Address Resolution Protocol) 분석 ( 6Pages )
문제1. ARP(Address Resolution Protocol) 분석 1. 개요 물리적 네트워크에서 두 개의 노드는 서로 physical address를 알아야만 통신이 가능하며, 자료를 전송하려 하는 상대방의 IP address는 알고 MAC address는 모를 경우 이 protocol을 이용한다. Ethernet address 48bits는 제조업체에서 지정되어 생산되며 중복되지 않는다. operation 가. Request: Hardware address를 요청(모든 노드로 전송..
리포트 > 공학/기술 |
 컴퓨터의 움직임 - web 2.0 ( 7Pages )
컴퓨터의 움직임 - Web 2.0 - 목 차 I. Web 2.0 이란 I-1. Web 2.0의 유래 I-2. Web 2.0의 정의 II. Web 2.0 특징 II-1. 웹 표준(XHTML/CSS) II-2. 브라우저 지원(Firefox, Safari) II-3. 유니코드 (UTF-8) II-4. 쉬운 주소 (Logical URI) II-5. 컨텐츠 신디케이션(RSS/Atom, RDF) II-6. 오픈 API (REST, SOAP, Web Services) II-7. 풍부한 사용자 경험(Ajax, Flex) III. Web 2.0 관련 싸이트..
리포트 > 공학/기술 |
 네트워크 레포트(자료수집) ( 6Pages )
LAN(Local Area Network) LAN의 형태는 Ethernet, Token Ring, FDDI와 Fast Ethernet, ATM, Gigabit Ethernet 등으로 나눌 수 있다. 이 가운데 기초적인 형태는 Ethernet, Token Ring, FDDI 등이며 이를 응용하거나 발전시켜 90년대 중반 이후부터 등장한 것이 Fast Ethernet, ATM, Gigabit Ethernet 등이다 Token Ring은 IBM의 제품으로부터 유래된 네트워크방식으로 IEEE 802.5 표준에 근거하고..
리포트 > 공학/기술 |
경영, 경제
 [전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 ( 10Pages )
VHDL에 대하여 (VHSIC HardwareDescription Language) - 목차 1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL 예약어 / 키워드 10. VHDL 주석 11. VDHL 식별어 12. VDHL 기본구성과 표현 13. 마치며.. 1. VHDL의 뜻 VHDL(VHSIC Hardware Descri..
리포트 > 공학/기술 |
 [디지털 회로설계] 4-Bit D Flip Flop 설계 ( 6Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) 위..
리포트 > 공학/기술 |
 [디지털 시스템 설계] 디지털 시계 최종 보고서 ( 9Pages )
디지털 시계 최종 보고서 목차 1. 연구 소개 2. 개발 내용 3. 개발 일정 4. 세부 동작 5. 문제점 및 발전 방향 첨부 : Source 파일 1. 연구 소개 - 디지털 논리 회로를 이용하여 디지털 시계를 구현 2. 개발 내용 - 보드에 내장된 16Mhz를 1초 분주 - 주어진 7SEGMENT 4자리 시간, 분 표시 - 셋팅으로 시간 설정 가능 3. 개발 일정 날짜 ~ 12.20 내용 코딩 및 디버깅 4. 세부 동작 (1) 기..
리포트 > 공학/기술 |
 [세미나] Artificial Intelligence An Introduction based on Russell and Norvig ( 47Pages )
Artificial Intelligence : An Introduction based on Russell and Norvigfor CS570 Artificial Intelligence Definition of AI Automation of activities that we associate with human thinking, activities such as decision-making, problem solving, learning, … (Bellman, 1978) Study of how to make computers do things at which, at the moment, people are better (Rich and Knight, 1991) A Bra..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10