전체 (검색결과 약 1,140개 중 3페이지)

 RingOut ( 4Pages )
1.원문 Ring Out, Wild Bells from In Memoriam A. H. H. (106) Ring out, wild bells, to the wild sky, The flying cloud, the frosty light; The year is dying in the night; Ring out, wild bells, and let him die. Ring out the old, ring in the new, Ring, happy bells, across the snow: The year is going, let him go; Ring out the false, ring in the true. Ring out the grief that..
리포트 > 기타 |
리포트, 레포트
 은행계좌 입금, 출금 JAVA코드 ( 4Pages )
이 코드는 ArrayList를 이용하여 계좌를 생성하고 생성한 계좌를 가지고 입금, 출금, 잔액조회, 계좌번호 조회를 하는 기능이 있는 java 코드입니다. x= new BankAccount(number, inputMoney); System.out.println("입력하신 계좌번호는 " + x.accountNumber+"이고 입금하신 금액은 " + x.balance +"원 입니다"); list.add(x.accountNumber); money.add(x.balance); System.out.println("===..
리포트 > 공학/기술 |
JAVA, java, 은행, 계좌, 코드, 리스트, 생성자
 성과급(out payment, pay for performance)제도 검토 ( 2Pages )
성과급(out payment, pay for performance)제도 검토 1. 성과급의 의의와 특징 ① 개념 성과급은 개별종업원이나 집단이 수행한 노동성과나 업적을 기준으로 임금을 산정하여 지급하는 임금형태이다. 변동급이라는 본질적인 특징을 지닌다. ② 장점 a. 근로자를 동기부여시키고 노동생산성을 향상시킨다. 개별 성과급의 실시로 생산성이 10%~20%증가될 수 있다 b. 직접노무비를 감소시키고 종업원들의 소득..
리포트 > 경영/경제 |
 통신시스템 - Design project 연속파형변복조 시스템 구현 및 분석[ AM, FM 변복조와 SNR을 구하는 프로젝트] ( 17Pages )
◎ Design project 연속파형변복조 시스템 구현 및 분석 ◎ 1. 연속파형변조 통신시스템 구현 AM (DSB-SC) 및 FM 통신 시스템의 변조기 및 복조기를 구현한다. 반송파 주파수는 적절하게 결정하여 사용하고, 그 이유를 제시한다. (적절한 signal processing을 위하여 변조 전에 interpolation을 수행oversampling된 데이터로 신호 처리하는 것이 좋음) #1 AM 변조기 복조기 (DSB-SC) - Source Code clear; ..
리포트 > 공학/기술 |
 사업계획서 - 전통 음료 Take-out ( 29Pages )
사업계획서 - 전통 음료 Take-out점 - 목 차 I. 개 요 1. 사업의 목적 2. 사업의 기대효과 3. 사업계획서의 개요 II. 외부환경 분석 및 시장전망 1. 일반 환경 분석 2. 제품시장 현황 3. 경쟁업체 현황 4. 시장전망 III. SWOT 분석 IV. 사업추진계획 1. 사업의 방향 및 전개방안 2. 초기 점포입지 3. 조직. 인원계획 4. Marketing strategy 가. STP 도출 나. Place strategy 다. Promotion..
비지니스 > 사업계획서 |
 인사관리상 아웃소싱 (Out-sourcing) 관리 ( 2Pages )
인사관리상 아웃소싱 (Out-sourcing) 관리 Ⅰ. 의의 아웃소싱이란 기업의 경영활동 중 전략적으로 중요한 핵심분야에 모든 역량과 자원을 집중시키고 나머지 부분을 외부 전문업체에 위탁함으로써 기업경쟁력을 제고시키는 전략이다. 이는 외부의 전문적인 지식과 시스템 등 외부자원을 효율성 있게 활용함으로써 자사가 목적으로 하는 업무에 경영능력을 집중하는 경영혁신운동의 일환이다. 이는 핵심역..
리포트 > 경영/경제 |
 [물리치료학] 밀기 증후군[Pusher syndrome, Out of line]에 관해 ( 5Pages )
밀기 증후군(Pusher syndrome, Out of line) 정상인이 안정시 또는 동작 시에 head, trunk의 평형, balance를 해부학적 위치에 유지할 수 있는 것은 ■ Proprioception receptor, ■ verstibulo로 부터의 정보, ■ visual로 부터의 정보를 중추와 통합함과 여분의 운동을 억제하여, 신체 각부를 컨트롤하고 있기 때문이다. 그러나 뇌손상 환자에게서 우리는 크게 운동기능, 감각기능, 그리고 인지기능 그리고..
리포트 > 의/약학 |
 Entrance ( 2Pages )
1.원문 Entrance Whoever you are: in the evening step out of your room, where you know everything; yours is the last house before the far-off: whoever you are. With your eyes, which in their weariness barely free themselves from the worn-out threshold, you lift very slowly one black tree ....
리포트 > 인문/어학 |
인문, 어학
 Asthro ( 3Pages )
1.원문 As thro' the Land at Eve We Went As thro' the land at eve we went, And pluck'd the ripen'd ears, We fell out, my wife and I, O, we fell out, I know not why, And kiss'd again with tears. And blessings on the falling out That all the more endears, When we fall out with those we love And kiss again with tears! For when we came where lies the child We lost in other years..
리포트 > 인문/어학 |
인문, 어학
 [VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 ( 11Pages )
Digital Stop Watch 1. 수행 목적 Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대에 더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용..
리포트 > 공학/기술 |
 [뇌손상] 중심선 이탈[밀기 증후군] - Out of line[The Pusher Syndrome]에 관해서 ( 11Pages )
중심선 이탈(밀기 증후군) - Out of line(The Pusher Syndrome)   편마비 환자의 재활에 관한 대부분의 연구는 대부분의 환자들의  걷는 모습의 질에는 관계없이 독립적으로 걷는 능력을 얻을 수 있는가에 집중되었다. 그러나 많은 환자들은 재활 치료 없이도 다시 걷는 것을 배운다. 소수의 환자들이 왜 과거처럼 걷을 수 없는가하는 이유와 이러한 장애들을 극복하기 위해 어떻게 도와주어야 하는가를 고..
리포트 > 의/약학 |
 도넛시장,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 20Pages )
외식경영학과 06 김태호 관광경영학과 07 이경민 관광경영학과 07 허윤영 호텔관광경영학부 10 주현우 쉐이크 Animal Style Fries 가족중심경영 1 드라이브 스루 최초 도입 2 ‘드라이브 스루(Drive-Thru)’를 처음 도입. In-N-Out이 처음 오픈했을 당시 50~60년대는 미국에서 프랜차이즈가 한창 붐이 일 때인데 당시에는 종업원들이 자동차 안에 있는 운전자들로부터 주문을 받아 음식을 서빙 하는 모습을 ..
리포트 > 경영/경제 |
 SPOON ( 23Pages )
SPOON Take out cup food 목 차 경영이념 BM 소개 시장분석 시장현황 고객분석 향후전망 제품 및 서비스 제품 및 서비스 특성 제품 및 서비스 구성내용 제품 및 서비스 개발계획 마케팅 SWOT 분석 STP 전략 입지선정 4P 전략 운영계획 설비투자계획 조직 및 인원계획 사업추진일정 재무계획 소요자금 및 자금조달계획 예상 투자 수익률 경영이념 자연의 신선한 재료를 통하여 고..
리포트 > 경영/경제 |
 유체역학 - 관로마찰 실험 ( 4Pages )
유체역학 - 관로마찰 실험 1. 실험 목적 관내모양에 따른 압력손실의 실험값과 방정식을 이용한 이론값을 비교하여 실생활에 활용을 목적. 2. 실험 측정 (cm) h1 h2 h in h out Ventury 실험1 72 8 64 62 60 2 실험2 73 18 55 64 62 2 Nozzle 실험1 65 10 55 20 21 -1 실험2 72 25 47 35 36 -1 Oriffice 실험1 71 33 38 46 40 6 실험2 78 62 16 61 57 4 3. 실험 결과 ◆ VENTURY L = 1m, D1 = 0.037m,..
리포트 > 공학/기술 |
 [세미나] 웹사이트 제작 아웃소싱 - A사의 웹사이트 아웃소싱 현황을 중심으로 ( 12Pages )
웹사이트 제작 아웃소싱 A사의 웹사이트 아웃소싱 현황을 중심으로 Why and How we do / Out-sourcing!! Coase’s Law(1937) - 기업의 확장은 내부거래비용이 외부거래비용과 같아질 때까지 확장 If 내부거래비용 ] 외부거래비용 Then 가차없이 분열 or 분사 Out Sourcing and Out Partnering(제휴 및M&A) 증가 중계상 몰락 예견 Decision Making about Out-Sourcing 내부거래비용(인건비+운영비+기술비용..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10