전체 (검색결과 약 16,169개 중 11페이지)

 국가기술혁신기획에 있어서 국민참여의 필요성과 논리 ( 18Pages )
국가기술혁신기획에 있어서 국민참여의 필요성과 논리 목차 1. 기술혁신의 개념 2. 과학기술기획의 개념 및 지지기반 3. 과학기술과 사회의 채널 구축에 관한 최근 논의 4. 국가 과학기술 기획의 중요성 5. 국가과학기술기획의 수립 현황 6. 국가 과학기술 종합 조정 관계 7. 국가과학기술기획에서의 국민참여 필요성 8. 고객참여형 기술혁신 개념 9. 시민참여형 기술혁신 개념 1. 기술혁신의 개념 1) 혁신(..
리포트 > 사회과학 |
 논리경험주의[철학] ( 4Pages )
우선 흄의 경험주의를 시작으로 기호 논리학의 발전과 논리 실증주의자들의 영향으로 이 경험론이 어떻게 변형 되는가를 살펴보자 -흄의 경험주의 인식론의 두가지 중점은 의미와 진리의 문제이다. 흄의 입장은 인상, 관념, 언어라고 하는 세가지 구분에 의해 명료하게 밝혀질 수 있다. 인상은 우리들이 지각하거나 내성할 때 경험하는 것이고, 직접적인 앎을 통해 얻어지는 것이다. 관념은 지각이나 내성이..
리포트 > 교육학 |
 Putting Global Logic First(범세계적 논리를 우선시켜야) ( 2Pages )
Putting Global Logic First(범세계적 논리를 우선시켜야) Kenichi Ohmae(겐니치 오마에} 애덤스미스 시대에는 중앙국가(nation-state)의 정치적인 국경에 의해 주어지고 결정되는 환경하에서 경제활동이 이루어졌었다. 그러나 오늘날에는 경제활동이 정책결정의 가장 큰 관심사이고 정치제도를 포함한 다른 모든 조직들은 이렇게 주어진 환경하에서 작동한다. 이는 18~19세기에 인위적으로 만들어진 중앙..
리포트 > 인문/어학 |
인문, 어학
 논리 ( 7Pages )
1. 논리학의 정의 논리학은 Logos의 학이다. 「Logos」는 원래 Legein(모은다. 배열한다. 등의 뜻)이라는 동사로부터 생겨난 명사로서 무엇을 밝힌다는 뜻을 가진 것이었으나 철학적으로 여러 가지 뜻으로 해석되어 언어라는 뜻 외에 이성, 사상, 진리, 법칙, 도 등의 뜻을 지니게 되었다. 우리 나라에서도 논리를 뜻하는 「理」字가 많은 학문의 이름에 붙어있음을 볼 수 있다. 즉 心理學, 論理學, 性理..
리포트 > 인문/어학 |
인문, 어학
 정보통신 실습 - 드 모르간의 법칙(결과 보고서) ( 5Pages )
드 모르간의 법칙 1. 실험 목적 ▣ 드 모르간 법칙을 소자를 이용하여 실험적으로 증명한다. ▣ 드 모르간 법칙을 이용하여 부울대수 변환 및 논리회로를 간소화하는 능력을 익힌다. ▣ 논리소자의 동작을 이해한다. 2. 실험 결과 그림 3-7 NAND-NOT-NOR 게이트를 이용한 실험회로 D 표 3-5 실험결과 A B (A*B) A B X Y 0 0 1 1 1 155 mV 155.3 mV 0 1 1 1 0 154.9 mV 155.2 mV 1 0 1 0 1 155.3 mV 155 m..
리포트 > 공학/기술 |
 퍼지 제어이론 ( 13Pages )
퍼지 제어이론 1 개요 퍼지이론은 1965년 L. A. Zadeh 에 의해 처음으로 소개된 후 많은 분야에서 빠르게 응용되어지고 있다. 기존의 디지털 논리체계는 0과 1의 의미가 확실한 반면, 퍼지 논리는 어떤 집합에 완전히 속하면 1, 전혀 속하지 못하면 0, 이와 다른 경우에는 0과 1사이의 값으로 표현하며 즉, 인간이 가질 수 있는 애매 모호한 상황을 정량화하여 나타내는 것이다. 이는 기존의 논리 체계..
리포트 > 공학/기술 |
 전자공학 기초 자료 문서 ( 109Pages )
전자공학 기초지식을모아놓았습니다. 증폭, 하드웨어, 논리, 다이오드, 등등등,,, 기초지식을 모아 두었습니다.. 전자공학에(전자)관심이 많으신분은 받아보시면 많은 도움이 될것같습니다. 그럼 많이 이용바랍니다.
정보/기술 > 전기전자 |
 논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 ( 13Pages )
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태 ① 입력 - 클럭 : clk - 리셋 : rst - 입력버튼 : ps_start - 종료버튼 : ps_end - 수정버튼 : ps_mod - 비밀번호 : ps_num ② 출력 - 문 열림 : door_open - 알람 : alarm ③ 상태 -ready -in..
리포트 > 자연과학 |
 verilog 시계[디지털 논리 회로] ( 15Pages )
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_a,min_b,min_a,hour_b,hour_a,night_a,c1k_b,c1k_c); input c1k,reset; output [5:0] comma_a, sec_b, min_b, hour_b; output [4:0] sec_a, min_a; output [2:0] hour_a; output [3:0] night_..
리포트 > 공학/기술 |
 체계이론 ( 10Pages )
체계이론에 대한 레포트 자료. 체계이론 목차 체계이론 I. 인간발달에 대한 맥락적 이해 II. 체계의 개념 III. 체계의 유형 IV. 체계론적 사고의 기본 논리 * 참고문헌 ...(이하 내용 생략)
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 시장개방의 논리와 장점,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 33Pages )
시장개방의 논리와 장점 세계의 커피 소비량 [출처: 세계커피기구(ICO) ,2010년] (단위: kg) 세계의 커피 생산량 [출처: 세계커피기구(ICO) ,2010년] 세계의 커피 생산량 [출처: 세계커피기구(ICO) ,2010년] 북위 25도 남위 25도 Coffe Belt 커피원두의 종류 세계의 커피 생산량 [출처: 세계커피기구(ICO) ,2010년] 경기도 부천역점 이마트 커피코너 베트남커피 영향력 [출처: Oxfam ICARD, 2001] 베트남커..
리포트 > 경영/경제 |
 21세기조직통합모형에관한이론적고찰 ( 34Pages )
21세기 조직의 통합모형에 관한 이론적 고찰* Theoritical Review of the intergrated Model for 21C Organization Ⅰ. 서론 Ⅱ.팀 조직 Ⅲ. 프로세스 조직 Ⅳ. 네트워크 조직 Ⅴ. 학습조직 Ⅵ. 미래 조직모델의 비교 Ⅰ. 서론 전통적 조직설계 논리는 과거의 안정적인 기업환경에서는 효과적인 설계 원리였으나, 오늘날 처럼 불확실한 상황에서의 조직설계 논리로 적용하기에는 많은 한계점을 가지고 있다. ..
리포트 > 경영/경제 |
 전자공학 논리회로 실험 - Logic 연산과 Gates ( 6Pages )
Logic 연산과 Gates 1. Diode logic 연산 ☞ 학습목표 AND, OR diode circuit 의 특성을 diode logic 연산으로 알아보자. ☞ 실험 1. AND gate AB A·B 5V 5V 5.15V 5V 0V 0.64V 0V 5V 0.64V 0V 0V 0.64V 2. OR gate AB A+B 5V 5V 4.54V 5V 0V 4.51V 0V 5V 4.51V 0V 0V 0V 오차 및 결과 오차 : And Input에 거짓=0V가 걸리면 Output에 거짓=0V가 걸려 야하나. Diode 전압강하 특징으로 0.64V의 전..
리포트 > 공학/기술 |
 논리회로 - 플립플롭 ( 18Pages )
플 립 플 롭 플립플롭과 래치는 두개의 안정된 상태 중 하나를 가지는 1비트 기억소자 플립-플롭 클럭신호가 Rising 할 때만 출력값이 변함. Edge-triggered 방식으로 동작 래치 Enable 제어신호가 ‘1’인 동안에 SR입력이 변화하면 이에 따라 출력(Q)값이 변함 Level-triggered 방식으로 동작함 보통 플립플롭과 래치를 통틀어 플립플롭이라 하는 경우도 있다. 플립플롭 : 동기식 플립플롭 래 치 : 비..
리포트 > 공학/기술 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
11 12 13 14 15 16 17 18 19 20