전체 (검색결과 약 880개 중 7페이지)

 무역업신고사항변경신고서 ( 1Pages )
[별지 제2-3호 서식] 무역업신고사항변경신고서 NOTIFICATION OF AMENDMENT TO TRADE BUSINESS 처리기간 :즉시 Handling Time : Immediate ①상호 (Name of Firm) ②신고번호 (Notification Number) ③주소 (Korean Address) ④전화번호 (Phone Number) ⑤팩스번호 (Fax Number) ⑥ 대표자 성명 (Name of Rep.) ⑦ 주민등록번호 (Passport Number) 변경내용 ( Contents of Amendment ) 변경전(Befor..
비지니스 > 무역수출입 |
 노조전임자 급여 지급에 대한 외국 입법례 개요 ( 2Pages )
노조전임자 급여지급에 대한 외국 입법례 개요 1. 들어가며 노조전임자의 급여는 노조가 스스로 부담하는 것이 원칙이며, 국제적으로도 보편화된 관행이다. 대부분 국가는 노조전임자에 대한 사용자의 급여지급을 부당노동행위로 규정하고 있다. 명시적으로 금지하지 않은 국가들의 경우, 노조가 급여지급을 요구하지 않고 있으며 또한 사용자의 급여지급 사례가 없기 때문에 법률로 규정하지 않는 것이다..
리포트 > 경영/경제 |
 영문 차관계약서 ( 12Pages )
EURODOLLAR CREDIT AGREEMENT THIS AGREEMENT dated as of between ()a corporation organized under the laws of the Republic of South Korea (hereinafter called Borrower). and ()a national banking association organized under the laws of the United States of America (hereinafter called Bank); WITNESSETH: WHEREAS, Borrower has requested that a branch of Bank located outside the Unit..
서식 > 계약서 |
 근무시간 및 휴가 조절을 통한 인사관리 유연화 방안 ( 2Pages )
근무시간 및 휴가 조절을 통한 인사관리 유연화 방안 Ⅰ. 근무시간의 유연화 1. flex time제 핵심 근무시간(core time)을 제외하고 종업원이 출퇴근시간을 자유롭게 결정할 수 있게 하는 제도를 말한다. 이 제도는 업무시간의 효율적 배분, 여가활동 등의 취지로 도입되었으며 최근 코어타임을 없애 노동시간의 자유재량을 획기적으로 실현하는 슈퍼 플렉스 타임도 등장하고 있다. 2. 24시간 선택근무제 하..
리포트 > 경영/경제 |
 무역업신고서 ( 1Pages )
[별지 제2-1호 서식] 무역업신고서 NOTIFICATION OF TRADE BUSINESS 처리기간 :즉시 Handling Time : Immediate ①상호 (Name of Firm) ②업종 (Type of Business) ③주소 (Korean Address) ④전화번호 (Phone Number) ⑤팩스번호 (Fax Number) ⑥ 대표자 성명 (Name of Rep.) ⑦ 주민등록번호 (Passport Number) 대외무역법 제10조 제1항 및 동법시행령 제19조 제1항의 규정에 의하여 무역업을 신..
비지니스 > 무역수출입 |
 인디아나존스,영화분석,영화줄거리,영화의이해 ( 50Pages )
고고학자.. 목차 인디애나 존스 시리즈 소개 고고학 그리고 역사학, 두 학문 사이의 관계 1편 Raiders of the lost ark 분석(이집트 역사와 성서) 2편 Temple of doom 분석 (누루하치와 부두교) 3편 Last Crusade 분석 (성배 holy grail 와 나치의 문화재 강탈) 결론 Indiana Jones Trilogy ≪레이더스, Raiders of the Lost Ark ≫ (1981) Directed by Steven Spielberg Produced by Frank Marshall, George ..
리포트 > 독후감/서평 |
 호텔 ( 16Pages )
2011-1 Hotel Project Management Sculpting in Time (Travel Agency)     Contents 1. Analysis of customer 1-1 . Purchase Behavior     1-2. Customers needs     1-3. Customer profile     1-4. customer Purchasing power 2. 2-1. S.I.T 2-2. logo 2-3. Type of Business 2-4. Vision and Mission Statement 2-5. Organizational Structure 2-6. Place Analysis    2-..
리포트 > 경영/경제 |
 PULL흐름라인의산출량변동성을최소화하는작업자배정안 ( 18Pages )
PULL 흐름라인의 산출량 변동성을 최소화하는 작업자 배정안 최상웅 Server Assignment Policy for Minimizing the Output Variability of a Pull Serial Line Choe, Sang Woong 1) Abstract Variability and unevenness in processing times create a problem of imbalance in the production system. So in a pull serial line, even though the product is expected to come out of the preceding c..
리포트 > 공학/기술 |
 [사회제도] 서머타임제에 관한 내용과 개인 의견 ( 5Pages )
[사회제도] 서머타임제에 관한 내용과 개인 의견 목 차 Ⅰ. 서머타임제의 정의와 역사 Ⅱ. 서머타임제의 종류 Ⅲ. 서머타임제의 효과 Ⅳ. 서머타임제에 대한 개인적인 소견 Ⅰ. 서머타임제의 정의와 역사 1. 정의 일광절약시간제(Daylight Saving Time)와 서머타임(Summer time 혹은 British Summer Time 이라고 영국에서 부른다)은 같은 말로서 영국에서 처음 나온 말이다. 이 제도는 한마디로 해가 계절..
리포트 > 사회과학 |
 [임금형태] 시간급제와 능률급제 ( 2Pages )
[임금형태] 시간급제와 능률급제 임금형태(wage payment method)란 "근로자에 대한 임금지급방법이나 계산방법 등"을 의미한다. 임금형태는 기본적으로 시간급제와 능률급제로 크게 나눌 수 있다. 1. 시간급제 시간급제(time rate plan)는 "노동시간에 따라 지급되는 임금제도"이다. 이는 노동성과와는 관계없이 일정한 노동시간에 대하여 일정한 임금이 지급되는 것으로서 ... 자세한 내용은 본문 참고
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 Marketing Plan,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례 ( 12Pages )
Report Subject: New Product Marketing Plan Contents 1. Executive Summary 2. The Product(Good or Service) or Business Idea 3. Market Analysis 4. Competitor Assessment 5. Marketing Strategy 6. Implementation 1. Executive Summary O ur company is a multi service fitness club which have a hospital equipped medical facilities, a gym and a spa. Existing fitness clubs provi..
리포트 > 경영/경제 |
 Longing ( 2Pages )
1.원문 Longing I am not sorry for my soul That it must go unsatisfied, For it can live a thousand times, Eternity is deep and wide. I am not sorry for my soul, But oh, my body that must go Back to a little drift of dust Without the joy it longed to know. (Sara Teasdale) 2.해석 갈망 내 영혼에겐 미안할 것 없으리, 불만인 채 이 세상 떠난다 해도. 왜냐하면 영혼은 천 번을 살..
리포트 > 인문/어학 |
인문, 어학
 영문 차관계약서2(LOAN AGREEMENT) ( 44Pages )
LOAN AGREEMENT(2) THIS AGREEMENT date the () day of () nineteen hundred and ninety-five BETWEEN (1) ()a company duly incorporated and validly existing with good standing under the laws of () and having its (registered) (principal) office at () (the Borrower) (2) () ( the Bank) WHEREAS : () NOW IT IS HEREBY AGREED as follows : Article 1. Definitions ..
서식 > 계약서 |
 수출승인(신청)서 ( 1Pages )
[별지 제3-1호 서식] 수출승인(신청)서 Export License(Application) 처리기간 : 1일 Handling Time : 1Day ①수출자 무역업신고번호 ④구매자 또는 계약당사자 (Buyer or Principal of Contract) (Exporter) (Notification No.) 상호, 주소, 성명 (Name of firm, Address, Name of Representative) (서명 또는 인) (Signature) ⑤신용장 또는 계약서 번호(L/C or Contract No.) ②위탁자 사업자등록번..
비지니스 > 무역수출입 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10