전체 (검색결과 약 238개 중 6페이지)

 [DB] Oracle Bible ( 105Pages )
Oracle Bible Introduction to the Oracle Server.doc Database and Instance startup and shutdown.doc Data Blocks, Extents, and Segment.doc Tablespaces and Datafiles.doc Schema Objects.doc Datatypes.doc Data Integrity.doc The Data Dictionary.doc Memory Structures and Processes.doc Data Concurrency.doc SQL and PL-SQL.doc Transaction Management.doc The Optimizer.doc ..
정보/기술 > 메뉴얼 |
 오토캐드 단축키 ( 4Pages )
3A 3DARRAY 3차원 배열 3DO 3DORBIT 3D 연속선 3F 3DFACE 3D 면 만들기 3P 3DPOLY 3차원 연속선 A ARC 호 그리기 AA AREA 면적 ADC ADCENTER AL ALIGN 3D 이동 정렬 AP APPLOAD AR ARRAY 배열 -AR -ARRAY 배열(TEXT) ATT ATTDEF 속성 정의 -ATT -ATTDEF ATE ATTEDIT 속성편집(블럭의 속성 편집) -ATE -ATTEDIT 속성편집 ATTE -ATTEDIT B BLOCK 블록 -B -BLOCK BH BHATCH 경계 해치 BR BREAK 끊기(중..
정보/기술 > 메뉴얼 |
 선진국의 해외개발원조 협력방식과 한국의 공적개발원조(ODA) 현황과 평가 ( 9Pages )
선진국의 해외개발원조 협력방식과 한국의 공적개발원조(ODA) 현황과 평가 목차 Ⅰ. 선진국의 해외개발원조 협력방식 1. 재정협력방식 1) Matching grant 2) Block grant 3) Multi-Year Funding 2. 하청계약방식 Ⅱ. 한국의 ODA 현황과 평가 1. 한국 ODA 발달 과정과 현황 1) 공적개발원조 개요 2) 우리나라 공적개발원조의 역사 3) 공적개발원조의 현황 2. 국제사회 ODA 동향 3. 공적개발원조(ODA)의 ..
리포트 > 경영/경제 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 [디지털 회로설계] VHDL을 통한 Gray Code 설계 ( 6Pages )
디지털 회로설계 1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다. 2) 방법 : [Gray code 식 유도] (1) 2진수를 입력을 갖고 output이 Gray code가 되게, karnaugh map을 이용하여 minimization한다. [VHDL code 작성] (2) QuartusII의 VHDL 언어를 이용하여..
리포트 > 공학/기술 |
 섬유화학 - 유리전이온도 측정방법 ( 12Pages )
섬유화학 - 유리전이온도 측정방법 •Static (정적) or quasi-static (반 정적) Methods - Stress relaxation - DTA - TMA - DSC(Differential Scanning Calorimeter) 온도를 변화시켜 가면서 시료(원자재)로부터 흐른 열의 양을 측정하는 방법, 즉 물질과 기준물질의 온도를 조정된 프로그램에 따라 변화시키면서 물질과 기준물질에 대한 에너지 압력 차를 온도의 함수로써 측정하는 방법 Stress Relaxti..
리포트 > 자연과학 |
 디지털 회로설계 - 고속 동작 덧셈기 설계 ( 15Pages )
1. 제목 : 고속 동작 덧셈기 설계 2. 목적 VHDL을 이용한 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며, VHDL의 코딩 방법을 익히고 시뮬레이션 툴의 사용법을 익힌다. 3. 목표 및 기준 설정 - 설계 목표 : 빠른 carry 처리를 통해 고속 연산을 가능하게 하는 Carry Lookahead Adder와 Carry Select Adder를 설..
리포트 > 공학/기술 |
 국제마케팅 발표v.2=1 ( 13Pages )
Balance Marketing 자만하지 않는 기업이 성공한다. Speed 성급, 자만 돌다리도 두드려 보고 건너라~! 자만했던 기업의 실패 한국 시장에 5년내 20개 점포 확대를 목표로 진출 세계적인 DIY매장(집에 관한 모든것을 판매) Block Quayle. 1969년 영국에서 설립 아직 한국인테리어 시장에서 DIY는 정착X Why 영국 처럼 주택 문화 보다는 아파트 문화의 발달 소비자들의 외면!!! 신중에 신중을 거듭한 기업..
리포트 > 경영/경제 |
 고분자 화학 - 고분자 공중합체 재료 설계 ( 7Pages )
1. 설계 목적 블록 공중합체는 두가지 이상의 고분자가 공유결합으로 서로 연결되어 있는 구조로diblock, triblock copolymer 등으로 분류 될 수 있다. 이러한 블록 공중합체는 두가지 이상의 서로 다른 성질의 고분자가 공유결합에 의해 연결되어 있기 때문에 주변 환경에 따라서 상분리를 하게 되는데, 이때 형성되는 도메인의 크기 및 모양은 각각의 고분자 segment 들의 길이와 상대적인 양에 따라서 ..
리포트 > 공학/기술 |
 스포츠 - 배구 기술에 관해서 ( 12Pages )
배구 기술 1. 배구의 역사 배구는 1895년 미국 매사추세츠(Masschusetts)주 홀리요크(Holyoke)시 Y.M.C.A 체육지도자였던 모르간(Morgan.W.G)에 의해 고안되었다. 직장인 누구나 쉽고 즐겁게 할 수 있는 레크레이션 스포츠로서 배구가 고안되었다. 우리 나라에 배구가 소개된 것은 1916년 3월 Y.M.C.A에 취임한 반하아트(Bahnhart)가 청년 회원들에게 이 경기를 소개, 지도함으로써 첫 출발하였고 이 때의..
리포트 > 사회과학 |
 차에 관한 조사 - 녹차에 관하여 ( 6Pages )
차에 관한 조사 - 녹차에 관하여 목 차 -녹차의 활성의 화학적 예방 활동의 메카니즘 -차 소비와 역사 -차와 암의 화학적 예방 -암의 화학요법의 차의 조절 효과 -차의 생물학적인 메카니즘 -녹차는 MAPK-pathway를 활발하게 한다. -EGCG는 Urokinase 활성을 억제시킨다. -녹차는 Apoptosis를 유발하고 cell cycle 체포를 유발한다. -EGCG는 세포 증식을 억제하고 EGFR결합을 통한 종양 진행을 억제한다...
리포트 > 사회과학 |
 [Verilog프로그래밍]D래치, 플리플롭, shift register ( 6Pages )
1. 목적 Verilog의 연속할당문을 사용한 래치 설계 방법과 always 문을 사용한 동작적 모델링 방 법의 래치, 플립플롭 설계 방법을 익히고 이를 간단한 회로의 설계에 적용한다. 2. 기초지식 - feedback이 있는 dataflow 모델링 방법 동작적 모델링에서 회로의 동작은 부울함수와 수식으로 기술 할 수도 있고 알고리즘과 같은 추상적인 표현을 사용하여 나타낼 수도 있다. 그 중에서 부울함수와 같은 수식으..
리포트 > 공학/기술 |
 그린티선미스트,선미스트,미스트마케팅전략,미스트분석,이니스프리 선미스트 ( 14Pages )
그린티 선 미스트 STP Strategy Introduction 4P Marketing Mix Economic Analysis 미 미스트 제품범주 이니스프리 선정이유 제품범주 선정이유 시장상황 및 분석 1. 접근성 용이 - 지나다니면서 볼 수 있는 비교적 저렴한 가격대의 로드샵 2. 로드샵브랜드 중 미스트 판매율 1위 - 경쟁력 있는 미스트 이미지 3. 자연주의 이미지 - 소중한 내 얼굴을 Care하는 이미지에 부합 제품범주와 브랜드 ..
리포트 > 경영/경제 |
 전기과 - 전력전자설계 트랜스폼제작 [flyback] ( 6Pages )
1. SMPS의 Block Diagram [ 그림1. Block Diagram ] 교류입력 전원으로부터 입력 전류 평활 회로를 통해 얻은 직류 입력 전압을 직류 출력 전압으로 변환하는 DC-DC 컨버터, 출력전압을 안정화 시키는 궤환 제어 회로 등으로 되어 있다. 궤환회로는 다시 출력 전압의 오차를 증폭하는 오차 증폭기, 증폭된 오차와 삼각파를 비교하여 구동펄스를 생성하는 비교기, DC-DC 컨버터의 주 스위치를 구동하는 구..
리포트 > 공학/기술 |
 [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계 ( 9Pages )
1. Background - 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각..
리포트 > 경영/경제 |
 고분자 겔 ( 74Pages )
고분자 겔 Stimuli-sensitive polymer Environmental Stimuli pH, temperature, electrical field, ionic strength, salt type, solvent, light Response Change in shape, surface characteristics, solubility, molecular self-assembly, sol-to-gel transition Stimuli-sensitive polymer의 특성 Stimuli-sensitive property Behavior : intra or intermolecular interaction of polymer chain Driving ..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10