전체 (검색결과 약 20,079개 중 5페이지)

 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 경영정보시스템(MIS)의 등장배경 ( 3Pages )
경영정보시스템(MIS)의 등장배경 ... 자세한 내용은 본문 참고
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 경영정보시스템(MIS)의 구성요소 ( 4Pages )
경영정보시스템(MIS)의 구성요소 ... 자세한 내용은 본문 참고
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 영문 D_P 구매 계약서(D_P PURCHASE CONTRACT) ( 4Pages )
D/P PURCHASE CONTRACT MESSRS.: Buyer의 상호, 주소 Date : Contract No. : ABC Company, Ltd. as Buyer, hereby confirms having purchased from you as Seller, the following goods by the contract made on the above date and on the terms and conditions hereinafter set forth. Seller is hereby requested to sign and return the original and if any discrepancy be found by Sell..
서식 > 계약서 |
 PROFORMA INVOICE (견적 송장) ( 1Pages )
PROFORMA INVOICE (견적송장) 작성 서식입니다. Shipper/Exporter No, Date of Invoice For Account & Risk of Messurs Remarks : Notify Party Port of Loading Final Distination Carrier Sailing About Marks and Numbers of PKGS Description of Goods Quantity/unit Unit Price Amount Signed by: TELEFAX : TELEPHONE:
서식 > 회사서식 |
PROFORMA, INVOICE, 견적, 송장
 [영어해석] 사설-South Korean strike hits.. ( 5Pages )
South Korean strike hits economy South Korea's government has warned the strike at its southern port of Pusan has cost the country $450m (£279m) in lost or delayed exports so far. 남쪽 부산항에서의 파업으로 한국은 수출지연과 손실로인해 지금까지 4억5천만달러 정도의 손실을 입었다라고 한국정부가 경고했다. The Ministry of Commerce, Industry and Energy said the port was now runn..
리포트 > 기타 |
핵심요약노트
 영문 Usance L_C구매계약서(Usance L_C PURCHASE CONTRACT) ( 4Pages )
Usance L/C PURCHASE CONTRACT MESSRS.: Buyer의 상호, 주소 Date : Contract No. : ABC Company, Ltd. as Buyer, hereby confirms having purchased from you as Seller, the following goods by the contract made on the above date and on the terms and conditions hereinafter set forth. Seller is hereby requested to sign and return the original and if any discrepancy be fou..
서식 > 계약서 |
 영문 D_A 구매 계약서(D_A PURCHASE CONTRACT) ( 4Pages )
D/A PURCHASE CONTRACT MESSRS.: Buyer의 상호, 주소 Date : Contract No. : ABC Company, Ltd. as Buyer, hereby confirms having purchased from you as Seller, the following goods by the contract made on the above date and on the terms and conditions hereinafter set forth. Seller is hereby requested to sign and return the original and if any discrepancy be found by Sell..
서식 > 계약서 |
 영문 DD 매매 계약서(매도)(DD SALES CONTRACT) ( 3Pages )
DD SALES CONTRACT MESSRS.: Buyer의 상호, 주소 Date : Contract No. : ABC Company, Ltd., as Seller, hereby confirms having sold to you as Buyer, the following goods by this sales contract made on the above date and on the terms and conditions hereinafter set forth. Buyer is hereby requested to sign and return the original and if any discrepancy be found by Buyer..
서식 > 계약서 |
 수입화물선취보증신청서 ( 1Pages )
수입화물선취보증신청서입니다. ①선박회사명 (Shipping Co) ②송화인(Shipper) ③상업송장금액(Invoice Value) ④화물표시 및 번호 (Nos. & Marks) ⑤포장수(Packages) ⑥신용장(계약서)번호(Number of Credit) ⑦L/G번호(L/G Number) ⑧선하증권번호(Number of B/L) ⑨선박명(Vessel Name) ⑩도착(예정)일(Arrival Date) ⑪항해번호(Voyage No.) ⑫선적항 (Port of Loading) ⑬도착항 (Port of Discharge) ..
비지니스 > 무역수출입 |
수입, 화물선, 보증, 신청서, 수입화물
 VC++ UDP 통신 모듈 ( 20Pages )
UDP 서버와 클라이언트 예제 소스입니다. Port 지정과 데이타 전송횟수와 전송 성공율 및 실패율을 나타내어 줌니다. UDP TEST용으로 제작 했고 VOIP기술 이용에 도움이 됨니다. UDP 통신 모듈입니다. 2중 스레드와 2중 UDP 을 이용했습니다. 데이타을 지정한 횟수 많큼 보내서 회신하는 프로그램으로 UDP 전송율을 나타내주는 프로그램입니다. 프로그램은 VC++로 제작 했습니다.
정보/기술 > 프로그램소스 |
 수출 계약서 ( 4Pages )
○○○ CO., LTD Address Cable : Telex : Tel : Fax : SALES CONTRACT ○○○ CO., LTD., as seller, hereby confirms having concluded the sales contract with you(your company), as Buyer, to sell following goods on the date and on the terms and conditions herein- after set forth. The Buyer is hereby requested to sign and return the original attached. MESSRS CONTRACT DATE ..
서식 > 계약서 |
 COMMERCIAL INVOICE ( 1Pages )
COMMERCIAL INVOICE ① Shipper/Exporter ⑧ No.& Date of Invoice ⑨ No.& date of L/C ⑩L/C issuing bank ② For Account & Risk of Messrs. ⑪ Remarks : ③ Notify Party ④ Port of loading ⑤ Final destination ⑥ Carrier ⑦ Sailing on or about ⑫ Marks and Numbers of PKGS ⑬ Description of goods ⑭ Quantity/Unit ⑮ Unit-price Amount P. O. Box : Signed by ..
서식 > 회사서식 |
 [경영정보시스템의 개념] 경영정보시스템(MIS)의 정의와 발전과정 ( 4Pages )
[경영정보시스템의 개념] 경영정보시스템(MIS)의 정의와 발전과정 목차 경영정보시스템의 개념 Ⅰ. 정보시스템의 정의 Ⅱ. 경영정보시스템의 정의 Ⅲ. 경영정보시스템의 발전과정 1. TPS 2. MIS 3. DSS 4. ES 경영정보시스템의 개념 I. 정보시스템의 정의 현대 사회는 지식산업사회의 도래로 금융, 서비스 등의 3차 산업이 중심이 되고 이들 산업에서의 생산성은 토지, 노동, 자본 등의 물리력..
리포트 > 경영/경제 |
개념정의, 특징특성, 종류유형, 문제점해결방안
 VHDL 설계 언어 실습(문법적용) ( 26Pages )
◆ logic1 1.소스 library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a= 1 ) or (b= 1 ) then w [= 1 ; else w [= 0 ; end if; end process; no2: process(b,c) begin if (b= 0 ) or (c= 0 ) then x [= 1 ; else x [= 0 ; end if; end..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10