전체 (검색결과 약 111개 중 3페이지)

 verilog 시계[디지털 논리 회로] ( 15Pages )
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_a,min_b,min_a,hour_b,hour_a,night_a,c1k_b,c1k_c); input c1k,reset; output [5:0] comma_a, sec_b, min_b, hour_b; output [4:0] sec_a, min_a; output [2:0] hour_a; output [3:0] night_..
리포트 > 공학/기술 |
 컴퓨터학개론 - 손실압축과 무손실 압축에 대해 ( 5Pages )
⑴ 압축의 개념과 종류 압축(compression)이란 • 기억 장소, 대역폭, 비용, 전송 시간 등을 줄이는 일련의 방법인데, 불필요하거나 반복되는 사항을 제거하기 위해서 특수한 코딩 기법을 이용하는 것을 말한다. • 압축 기억 장소에서 사용하지 않는 기억 장소들이 여기저기 분산되어 있으면 새롭게 실행할 프로세스가 큰 기억 장소를 요구할 때, 분산되어 있는 공백들의 합이 그 필요한 기억 장소보다 ..
리포트 > 공학/기술 |
 2프로세서와프로그램설계완벽 ( 14Pages )
프로세서와 프로그램 설계 1. Process 설계 ※프로그램 : 토막 난 작업과 같이 결과를 생성하는데 필요로 하는 세부 활동들의 집합 ※Process : 최종결과를 향해 입력을 단계적으로 그리고 연쇄적으로 가공하는 프로그램의 흐름이 형성되는 것 1-1 개요 ★Process 설계 입력정보와 파일정보를 바탕으로 하여 필요한 출력 정보를 얻기까지의 과정에 대한 처리의 흐름과 정보의 흐름 등을 명확히 밝히는 것. ★P..
리포트 > 공학/기술 |
공학, 기술
 결과 보고서 - 옴의 법칙, KCL, KVL, 저항과 저항회로 ( 10Pages )
옴의 법칙, KCL, KVL, 저항과 저항회로 실험조건 : (온도) 24°C, (습도) 60%, (날씨) 맑음 1. 실험 목적 1) 전기회로의 3대 법칙인 옴의 법칙, 키르히호프 전압법칙 및 키르히호프 전류법칙을 설명할 수 있다. 2) 옴의 법칙, 키르히호프 전압법칙 및 키르히호프 전류법칙을 실험으로 보여 줄 수 있다. 3) 저항의 기능, 필요성, 규격, 사용방법을 설명할 수 있다. 4) 저항에서의 소모하는 전기 일률 및 에..
리포트 > 자연과학 |
 c프로그래밍 정렬 알고리즘에 대해 ( 14Pages )
과목명 : C프로그래밍 정렬 알고리즘 소속 : 학년/반 : 학번 : 성명 : 담당교수 : - 목 차 - Ⅰ. 문 제 ··· 1 Ⅱ. Program ··· 1 ~ 6 1) 기본 설명 ··· 1 2) 주요소스 및 설명 ··· 1 ~ 6 Ⅲ. 프로그램 실행화면 ··· 7 ~ 9 Ⅳ. 결 과 ··· 10 ~ 11 Ⅴ. 참고문헌 및 사이트 ··· 11 Ⅰ. 문 제 DATA STRUCTURE에서 데이터를 효율적으로 관리 및 사용 할 수 있도록 한다. 시스템을 구현 할 때에는 자료구조에 ..
리포트 > 공학/기술 |
 음성변조 ( 18Pages )
음성 변조 변조 종류와 이론 작동 원리 구현 및 설계방법 -시뮬레이션 결과(Matlab) -데모(DSP-Kit) 결과 및 고찰 질의 문답 Contents Modulation 입력신호에 고주파 정현파 신호를 곱하여 고주파 대역으로 shift시켜 음성변조를 만들어 본다. CT : DT : 입력되는 MP3파일에 sampling주기로 곱하여 DT신호로 변화하여 DSP-KIT에 입력한다. 프로그램을 통해 cosine신호를 만들어 주파수..
리포트 > 공학/기술 |
 결과 보고서 - 인덕터와 인덕터 회로 ( 9Pages )
인덕터와 인덕터 회로 실험조건 : (온도) 26°C, (습도) 66%, (날씨) 맑음 1. 실험 목적 1) 인덕터의 작동원리, 기능, 규격, 종류 및 사용방법을 설명할 수 있다. 2) 인덕터에서 전압-전류 관계를 실험으로 보여 줄 수 있다. 3) 인덕터에서의 전기 일률(전력) 및 축척하는 에너지를 실험으로 보여 줄 수 있다. 4) 인덕터-저항 회로에서 시각변수 지수함수인 인덕터 전류 파형과 시정수를 실험으로 보여 줄 ..
리포트 > 자연과학 |
 인터넷_검색엔진효율적등록을 위한 컨설팅계약서 ( 1Pages )
검색엔진의 효율적인 등록을 위한 컨설팅 계약서 의뢰인 “갑”과 컨설턴트 “을”은 신의로써 다음의 계약을 하기로 한다. 다음 1. 계약금액 가) 총 계약금은 원으로 하며, 계약일로부터 24시간내 “갑”은총 계약금액의 50%인 원을 “을“에게 지급한다. 나) 잔액은 계약금 지급일로부터 30일 이내 “갑”은 을에게 금액 원을 지급한다. 다) 총 계약금액은 소득세와 주민세를 제외한 금액이며, 세금은 원칙적으로 “
서식 > 계약서 |
 검색엔진의 효율적인 등록을 위한 컨설팅 계약서 ( 1Pages )
검색엔진의 효율적인 등록을 위한 컨설팅 계약서 갑 의뢰인 인을 컨설턴트 인 회사명 주민번호 주소 전화 웹사이트 Email 의뢰인 “갑”과 컨설턴트 “을”은 신의로써 다음의 계약을 하기로 한다. -다음- 1. 계약금액 가) 총 계약금은 원으로 하며, 계약일로부터 24시간내 “갑”은총 계약금액의 50%인 원을 “을“에게 지급한다. 나) 잔액은 계약금 지급일로부터 30일 이내 “갑”은 을에게 금액 원을 지급한..
서식 > 계약서 |
 디지털 라이징 ( 11Pages )
[시험 요약] ◎시험의 목적 2차원의 물체는 간단한 도구(자, 각도기 등)만 있으면 정확한 위치 측정이 가능한 반면, 3차원 형상은 위의 간단한 도구만으로는 측정의 한계가 있으며, 설사 측정을 했다 하더라도 많은 오차를 유발 한다. 그러므로 3차원 형상의 특정부분의 위치 파악을 위해서는 별도의 장비를 개발하고 이를 이용할 필요성이 있다. 본 실험에서는 3차원 물체의 각 점의 위치를 파악할 수 ..
리포트 > 공학/기술 |
 소프트웨어 공학 - 소프트웨어 공학 동향 ( 47Pages )
1 소프트웨어 공학 동향 - Software Engineering - 학습 내용 소프트웨어 공학의 역사 CASE 사용자 인터페이스 관리 시스템 컴포넌트 기반 소프트웨어 개발 웹 엔지니어링 소프트웨어 재사용 소프트웨어 리엔지니어링 실시간 소프트웨어 설계 소프트웨어 공학 방법론 소프트웨어 개발 과정에서 사용되는 여러 가지 방법에 대한 연구 모형화 방법, 설계 방법, 코딩 , 테스트 방법 프로세스 중심 방법론 자료..
리포트 > 공학/기술 |
 KAKAO(카카오) 개발자 합격 자기소개서 ( 5Pages )
그 이야기를 계기로 MYSQL과 데이터베이스에 대한 호기심을 갖게 되었고 공부를 시작하게 되었습니다. 기본적인 정보처리기사를 시작으로 보안 및 네트워크에 대해 더 공부할 수 있는 정보보안 기사, 네트워크 계열에서 꼭 필요할 리눅스를 공부하기 위해 세운 목표였습니다. Web-Streaming에서의 문제는 Raspber ry Pi에서는 제대로 영상이나가지만 Appl ication에서 받지 못하는 것으로 보였고 어느 부분..
서식 > 자기소개서 |
되어다, appl, ication, 공부, 대한, 통신, 문제, 시험, 네트워크, 리눅스, 카카오, 이다, pi, ry, raspber, 합격, 열정, db, 가장, 생각
 코덱에 대하여(코덱의 정의,코덱의종류,코덱개발의필요성) ( 14Pages )
코덱에 대하여(코덱의 정의,코덱의종류,동영상코덱의종류,오디오코덱의종류,코덱개발의 필요성,코덱을 설치하는 방법,영상압축표준안,미디어 포맷 정리) 코덱에 대하여(코덱의 정의,코덱의종류,동영상코덱의종류,오디오코덱의종류,코덱개발의 필요성,코덱을 설치하는 방법,영상압축표준안,미디어 포맷 정리) 1.코덱이란 무엇인가 코덱이란 영상이나 음성 등의 신호를 펄스 부호 변조(PCM)를 사용하여 ..
리포트 > 공학/기술 |
코덱, codec, 코덱에 대하여, 코덱이란, codec이란, 코덱의 정의, 코덱의종류, 동영상코덱의종류, 오디오코덱의종류
 SK하이닉스 공정 R&D 합격 자기소개서 ( 6Pages )
하지만 소자를 제작할 때마다 발광률이 일정하지 않은 문제를 발견했습니다. 비록 프로젝트의 목표에서 벗어나는 문제였지만, 조원들과 함께 개선해보기로 했습니다. 일주일 동안 매일 만나서 계속 진행했지만 문제는 해결되지 않았습니다. 그러나 좀처럼 문제가 해결되지 않았고 오랜 시간 동안 고민 끝에 생각의 틀을 바꾸기로 했습니다. 보니 에러가 발생했고, 많은 기능을 각각의 엔티티로뽑아내 코딩을..
서식 > 자기소개서 |
문제, 생각, 장비, 경험, 해결, 이다, 공정, 진행, 시뮬레이션, 새롭다, 증착, 프로젝트, 위해, 결과, 분석, 이용, , , 대한, 보다
 복잡한 회로 설계 - [VHDL] 4비트 가산기 설계 ( 6Pages )
DESIGN REPORT 복잡한 회로 설계 - 4비트 가산기 - 과 목 : 학 과 : 학 번 : 이 름 : 제출일자: 1. 4bit Adder 소개 4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 1100 = 10111이다. 기본적인 4비트 병렬 가산기는 4개의 전가산기로 구성된다. 두 개의 입력 신호는 , 로 주어지며, 각 가산기의 캐리 출력은 다음 상위 가산기의 캐리 입력이 된다. 2. 설계 ..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8