전체 (검색결과 약 1,289개 중 21페이지)

 마이크로 컴퓨터 실습 ( 4Pages )
마이크로 컴퓨터 실습 1.A/D컨버터의 종류 1) 계수 비교형 ADC 내부에서 D/A 컨버터로 발생시킨 전압이 아날로그 입력보다 커질 때까지 비교하는 방식, D/A 컨버터의 출력을 만들기위한 계수기를 사용, 이 계수기는 변환을 시작할 때 리셋되고 각 클럭 사이클마다 1씩 증가하는 구조를 갖는다. 비교기는 D/A 컨버터의 출력이 아날로그 입력 전압을 초과하는 순간 계수기의 동작을 정지시키며, 이 마지막의 ..
리포트 > 공학/기술 |
 일일보육일지,일일보육실습일지한달분량 ( 38Pages )
일일보육일지,일일보육실습일지 2011년 3월 2일 (수)요일 출근 09시 30분 퇴근 19시 30분 지도교사 원 장 주 제 어린이집에 왔어요 목 표 어린이집에서 편안히 놀 수 있다 시 간 활동영역 활동목표 활동내용(보육활동) 자료 및 평가 9:30~10:30 등원 및 자유선택활동 친구들에게 큰 소리로 인사 할 수 있다 - 인사를 나누며 어린이집에 어떻게 왔는지 물어 본다 ..
리포트 > 교육학 |
일일보육일지, 보육일지, 보육실습일지, 일일보육실습일지
 [전기회로실습]전원의 내부저항, 전압 안정 직류전원, DMM의 내부저항 ( 6Pages )
[전기회로실습]전원의 내부저항, 전압 안정 직류전원, DMM의 내부저항 1. 요 약 우리가 흔히 사용하는 건전지와 DMM에 내부저항이 존재하는데 이 내부저항을 측정하였다. 건전지의내부저항은 약 0.23Ω으로 실제 회로에서 고려하지 않아도 될 만큼 큰 값이 아니었고 DMM의 내부저항은 10.05㏁으로 측정되었다. 따라서 DMM으로 전압을 측정할 때 회로에 매우 큰(수㏁)저항이 연결되어 있으면 DMM의 내부저항..
리포트 > 공학/기술 |
 기계공작실습 _ 용접에 관해서 ( 4Pages )
기계공작실습 – 용접에 관해서 1.용접기호 표준기입방법에 대하여 기술하고 설명하시오. ⓵용접기호는 기선과 화살표, 꼬리로 구성된다. ⓶기선은 수평선으로 하고 기선의 한쪽 끝에 화살표를 붙인다. ⓷화살표는 용접부를 지시하는 것으로 기선에 되도록 60도의 직선으로 하는 것이 좋다. 다만 J형, K형, 양면J형에서는 부재의 면을 지시할 필요가 있을 때 꺾은 선으로 표시한다. ⓸필요할 경우 화살표를 두 ..
리포트 > 공학/기술 |
 신문뉴스모니터실습 ( 16Pages )
<바른언론을 위한 시민연합> 모니터 강좌 신문뉴스 모니터 실습 1. 모니터 요원의 기본 자질 모니터의 목적은 언론을 감시하는 것이다. 즉 언론의 잘잘못을 가려내 잘못된 것이 있으면 그 내용을 시정하도록 요구하며, 잘된 것은 더욱 잘 하도록 격려하는 것이다. 그러나 모니터의 영역이 광범위할 뿐만 아니라 언론의 내용 역시 정치․경제․사회․문화․오락 등을 포괄하고 있기 때문에 효과적인 모니터를 ..
리포트 > 사회과학 |
사회과학
 신문뉴스 모니터 실습 ( 16Pages )
<바른언론을 위한 시민연합> 모니터 강좌 신문뉴스 모니터 실습 1. 모니터 요원의 기본 자질 모니터의 목적은 언론을 감시하는 것이다. 즉 언론의 잘잘못을 가려내 잘못된 것이 있으면 그 내용을 시정하도록 요구하며, 잘된 것은 더욱 잘 하도록 격려하는 것이다. 그러나 모니터의 영역이 광범위할 뿐만 아니라 언론의 내용 역시 정치․경제․사회․문화․오락 등을 포괄하고 있기 때문에 효과적인 모니터를 ..
리포트 > 사회과학 |
사회과학
 게임_콘텐츠_분석과_실습,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 11Pages )
게임 콘텐츠 분석과 실습 - 사이버 범죄 예방 - 사이버 범죄의 종류와 현재, 미래를 중심으로 - 목차 - 1. 서론 1) 문제제기 2) 연구목적 2. 본론 1) 사이버 범죄란 2) 사이버 범죄의 종류 3) 사이버 범죄의 현재 4) 사이버 범죄의 미래 5) 사이버 범죄 대처 방안과 예방 방법 3. 결론 및 제언 1) 결론 및 한계점 2) 참고 자료 1. 1 문제제기 정보화 사회는 우리 생활 전반에 편리..
리포트 > 경영/경제 |
 체육실습시험점수표 ( 1Pages )
체육실습 시험 점수표 평가구분 목표 기록목표 1차 기록 목표설정 1차 기록 목표설정 3차 기록 개인 평가 동료 평가 모둠장평가 최고기록 8 (2회중)
서식 > 학교서식 |
 어학실제안서
멀티미디어어학실습시스템제안서 멀티미디어어학실습실제안서입니다.
비지니스 > 제안서 |
 토탈스테이션 측량 ( 2Pages )
토탈스테이션 측량 1. 실습제목 : 토탈스테이션 측량 2. 실험목적 운동장의 임의의 한 곳에 육각형을 만들고 중심을 잡은 후 각각의 삼각형으로 나누고, 토탈스테이션을 이용하여 각 변의 길이를 측정하고 삼각형의 넓이를 이용하여 육각형의 넓이를 구한다. 그리고 나서 줄자로 쟀을 때 측정값과 비교하여 본다. 3. 실습준비물: 토탈스테이션, 줄자. 못, 노끈(육각형 각 꼭지점을 표시하기 위함.) 4. ..
리포트 > 자연과학 |
 고저차 측량 ( 3Pages )
고저차 측량 1. 실습 목적 -측량학 강의 시간에 배운 수준측량을 이용하여 공대에서 정문까지, 정문에서 공대까지의 고저차를 측정하고 그 오차를 비교해본다. 2. 실습 기구 ➀ 레 벨 기 ➁ 표 척 3. 실습 이론 -레벨: 레벨은 구조상 크게 2종류로 분류된다. 그 중 하나는 시준축과 연직축이 직각으로 되어 있는 것으로, 이 경우 시준축의 수평상태를 확인하는 기포관은 1개이다. 또 하나는 앞의 두 개 ..
리포트 > 자연과학 |
 시장품질분석,와이블분포,생명표법,품질분석,카이스퀘어분포 ( 19Pages )
차 례 Ⅰ. 와이블 분포 1 Ⅱ. 생명표법 2 Ⅲ. 실습내용 [1] 대형마트 데이터 1. 부품번호 및 고장번호 ··· 4 2. 대형마트의 사용환경 ··· 4 3. 부품의 고장분석 3.1 qdC / sk01 ··· 4 3.2 qdD / sk01 ··· 4 3.3 qdD / sk05 ··· 5 4. 분석결과 값 ··· 6 5. 대형마트 내에서의 비교 분석 ··· 7 Ⅳ. 실습내용 [2] 소형마트 데이터 1. 부품번호 및 고장번호 ··· 8 2. 소형마트의 사용환경 ··· 8 3. 부품의 고..
리포트 > 경영/경제 |
 서약서 ( 1Pages )
국립기술품질원/지방중소기업청/요업기술원 등에서 실습기간 중 발생할 수 있는 재산과 기기 파손에 대한 서약서입니다. 아래 학생이 귀원(청)에서 실습기간중 고의 또는 중과실로 인하여 귀원(청) 국유재산 및 연구기기를 파손하였을 때는 본인 책임하에 신속히 피해물에 대한 복원조치 또는 손해변상 할 것을 이에 서약서를 제출합니다. < 세부 내역 > 1. 전공학과 2. 학년 3. 성명 4. 비고 등 ..
서식 > 행정민원서식 |
서약서, 파손, 실습
 VHDL 설계 언어 실습(문법적용) ( 26Pages )
◆ logic1 1.소스 library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a= 1 ) or (b= 1 ) then w [= 1 ; else w [= 0 ; end if; end process; no2: process(b,c) begin if (b= 0 ) or (c= 0 ) then x [= 1 ; else x [= 0 ; end if; end..
리포트 > 공학/기술 |
 금속 동합금 - Cu-Sn합금[수냉] ( 62Pages )
Cu-Sn합금(수냉) 목차 1.서론 1-1.동합금 1-1-1동합금이란 1-1-2동합금의 특성 1-2.Cu-Sn합금 1-2-1Cu-Sn합금이란 1-2-2Cu-Sn합금 물리적 특성 1-2-3Cu-Sn합금 화학적 특성 1-2-4Cu-Sn합금 기계적 특성 1-2-5구리, 황동, 청동 비교 1-3.실습목적 1-3-1용해 및 주형주입 실습목적 1-3-2시편가공 실습목적 1-3-3경도측정 실습목적 목차 2.본론 2-1.Cu-Sn합금제조 2-1-1Cu-Sn합금조성표 2-1-2..
리포트 > 공학/기술 |
21 22 23 24 25 26 27 28 29 30