전체 (검색결과 약 4,716개 중 20페이지)

 메카트로닉스 설계 - ATmega16을 이용한 모터속도 및 방향제어 ( 10Pages )
메카트로닉스 설계 - ATmega16을 이용한 모터속도 및 방향제어 목 차 1. 설계 목적 2. 설계 이론 3. 설계 장치 4. 설계 방법 5. 설계 결과 1. 설계 목적 1) 0~255까지 모터의 회전속도 값을 3개의 7-Seg로 출력시키고 tact S/W를 이용해서 회로 의 High 또는 Low상태를 조정하여 속도를 높이거나 낮출 수 있으며 방향조종이 가능하 게 한다. 2) 다이오드 5개를 이용해서 ① 짝수일 때 불이 꺼지고 홀수..
리포트 > 공학/기술 |
 [단일집단 사전-사후시험설계] 내적 타당도와 외적 타당도를 위협하는 요인 ( 4Pages )
[단일집단 사전-사후시험설계] 내적 타당도와 외적 타당도를 위협하는 요인 ... 이하 자세한 내용은 본문을 참고하시기 바랍니다 ...
리포트 > 사회과학 |
[단일집단 사전-사후시험설계] 내적 타당도와 외적 타당도를 위협하는 요인
 사회복지실천 평가의 종류(단일사례설계, 과제성취척도, 클라이언트 만족도설문) ( 3Pages )
사회복지실천 평가의 종류(단일사례설계, 과제성취척도, 클라이언트 만족도설문) ... 자세한 내용은 본문 참고
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 디지털 공학 - 4bit 제곱기 설계 ( 7Pages )
디지털 공학 -4비트 제곱기 설계 1) 블록도 2) 진리치표 입력 출력 ABCD수 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 값0001100011001020001004001130000100190100400010000 16 010150001100 ·1 25 0110600100100 36 0111700110001 49 1000801000 64 1001901010001 81 1010 10 01100100 100 1011 11 0 ....
리포트 > 공학/기술 |
 단일사례설계 ( 5Pages )
단일사례설계 1. 단일사례설계의 도입 및 특성 사회복지실천의 효과성을 평가하기 위해 가장 많이 사용되는 설계 방법으로 클라이언트에 대한 즉각적인 연구가 필요할 때나 통제집단을 구하기 어려운 경우 사용될 수 있는 가장 효과적인 방법임. •장점- ① 클라이언트가 가지고 있는 문제에 대해 실제로 변화가 일어났는지 아닌지에 관계없이 사회복지실천가와 클라이언트가 문제를 점검하여 피드백을 ..
리포트 > 사회과학 |
분석
 사회복지 정보관리체계(정보관리 시스템의 의의와 설계요건, 데이터베이스) ( 4Pages )
사회복지 정보관리체계(정보관리 시스템의 의의와 설계요건, 데이터베이스) 목차 사회복지 정보관리체계 I. 정보관리 시스템의 의의 II. 정보관리 시스템의 설계요건 1. 시스템 분석 2. 분석모델 III. 데이터베이스(DB) * 참고문헌 ...
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 아동발달연구의 접근방법(아동발달의 연구방법, 연구설계, 아동연구의 제한점, 영아연구방법) ( 10Pages )
아동발달연구의 접근방법(아동발달의 연구방법, 연구설계, 아동연구의 제한점, 영아연구방법) 목차 아동발달연구의 접근방법 I. 연구방법 1. 관찰법 2. 자기보고식 : 질문지와 면접법 3. 임상법(사례연구법) 4. 생리적 심리측정법 II. 일반적인 연구설계 1. 상관연구설계 2. 실험연구설계 III. 발달적인 연구설계 1. 횡단적 연구설계 2. 종단적 연구설계 3. 순차적 연구설계 IV. 아동연구의..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 문제점
 인생설계와 직업전환 ( 3Pages )
인생설계와 직업전환 I. 인생설계 인생에서 행복과 성공은 우연적 요인에 의해 영향을 받기도 하지만 미리 준비하고 노력하는 과정에서 주어지는 경우가 많다. 특히, 대학생 시기는 "무엇을 위해 어떻게 살 것인가?"라는 질문에 대해 깊이 고민하며 탐색해 보아야 하는 시기이다. 청소년 시기에 대학입시준비에 몰두해 온 우리나라의 대학생들은 대학에 와서 이러한 문제를 진지하고 깊이 있게 탐색하는 ..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 디지털 논리 설계 - Altera Max+plus II 스탑워치 설계 ( 14Pages )
디지털 논리설계 Stop Watch 목 차 개 요 설계내용 결과 시연 개 요 강의시간에 배운 이론을 바탕으로 실제 상품화 될 수 있는 하드웨어를 Altera Max+plus II 프로그램을 사용하여 설계한 팀 프로젝트 결과입니다. 상세회로 구성 블록도 설 계 내 용 입 력 - CLK : 클럭입력 (100Hz) - CLEAR : 클리어입력, 0이 되면 모든 출력이 0으로 리셋 - START_STOP : 시간증가 / 정지모드 입력 0일때 정지..
리포트 > 공학/기술 |
 직무설계와 작업 측정 ( 3Pages )
직무설계와 작업측정 1. 들어가며 경영자들은 생산성 향상과 원가절감이 고도의 생산자동에 의해서만 이루어질 수 있다는 과오를 범하는 경우가 종종 있다. 이러한 관점은 노동자의 작업능률과 기술이 열악할 경우에는 사실일지 모르나 우리나라와 같은 경우 노동자의 질적 수준이 후진국에 비해 훨씬 우수하기 때문에 자동화가 반드시 생산성 향상과 원가절감을 이룩해 주지는 않는다. 따라서 생산기술의..
리포트 > 경영/경제 |
 실버타운(저층278세대)설계도면
수도권 실버타운 노인주택 설계도면 중 일부입니다. 배치도,법규검토서,부속동 평면도,개요,지하층평면도,토목평면도,토지이용,섹션,유니트입니다
정보/기술 > 설계/사양서 |
실버타운, 설계도면, 노인주택
 유압설계 자동계산식 ( 1Pages )
유압설계 자동계산식 1)실린더 선정방법 2)펌프 선정방법 3)전동모타 선정방법 4)배관SIZE 선정 5)관 두께선정방법 엑셀로 작성되었으며 자동계산되는 아주 유용한 자료 입니다.
서식 > 자동화서식 |
 [공학설계] WMS 설계, 제작 ( 10Pages )
WMS 설계/제작/실험 보고서 ◎목차 ● 요약 ● 설계/제작/실험 과제-설계의 목적, 과제 내용 요약 ● 설계/제작/실험 방법 ● 그림 및 사진 ● 공학해석 ● 프로그래밍-LabVIEW ● 결과 및 검토-측정 결과 및 분석 -Bolt 수 –Vout -Bolt 수 –Strain -Stress- Strain curve ● 결론 ● 참고 자료 ◎요약 팀은 이번 DP #1 WMS 설계/제작/실험을 통해 strain을 철제 자에 연결하여 추의 무게에 따라 달라지는 철제..
리포트 > 공학/기술 |
 글로벌 조직관리) 글로벌 전략과 조직설계, 글로벌 기업의 조직구조 ( 9Pages )
글로벌 조직관리) 글로벌 전략과 조직설계, 글로벌 기업의 조직구조 목차 * 글로벌 조직관리 Ⅰ. 글로벌 전략과 조직설계 Ⅱ. 글로벌 기업의 조직구조 1. 국가별 자회사 구조 2. 국제 사업부제 구조 3. 글로벌 기능별 구조 4. 글로벌 지역별 구조 5. 지역별 복합모델 6. 글로벌 제품별 구조 7. 글로벌 매트릭스 구조 8. 혼합구조 * 글로벌 조직관리 1. 글로벌 전략과 조직설계 조직설계의 목적은 ..
리포트 > 경영/경제 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 기초전기회로실험 - 직렬회로를 설계 ( 3Pages )
실험목적 1. 지정된 저항 조건을 만족하는 직렬회로를 설계한다. 2. 지정된 전류, 전압 조건을 만족하는 직렬회로를 설계한다. 3. 지정된 전류, 저항 조건을 만족하는 직렬회로를 설계한다. 4. 설계된 회로를 구성하고 시험하여 설계 조건이 만족하는지 확인한다. 이론적 배경 직렬 연결된 저항기들의 총 저항을 구하는 법칙은 간단한 설계 문제에 적용될 수 있다. 예를 들어 56- 4개, 100- 5개, 120- 3..
리포트 > 자연과학 |
11 12 13 14 15 16 17 18 19 20