전체 (검색결과 약 567개 중 2페이지)

 논리회로실험 - sampling 이론과 nyquist주파수 matlab 실험 ( 4Pages )
1. 실습내용 [샘플링 이론(Sampling Theorem)] 샘플링 이론이란 표본화정리(標本化定理)라고도 한다. 구체적으로 말하면, 파형의 주파수 대역을 WHz라고 할 때 그 파형을 나타내는 데 있어서 모든 시각에서의 파고값이 반드시 필요한 것은 아니며, 1/2W 간격의 표본값만으로 충분하다는 이론이다. 디지털 신호란 신호의 크기가 연속적인 값을 가지지 않고 0과 1만 가지는 이산적인 신호를 말한다. 이러한 ..
리포트 > 공학/기술 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 인장실험 [일반 물리] ( 3Pages )
1. 실험 결과 1) yield stress 약 830MPa 2) ultimate tensile strength 874.1088MPa 3) 연신율 2. sample 형상, 파단면의 특징 1) sample 형상 2) 파단면의 특징 파단면을 관찰한 결과 약간 늘어난 부분이 있으며 깨끗하게 잘리지 않았기 때문에 ductile재료라고 생각한다. 3. 응력 변형율 선도 4. 고찰 ....
리포트 > 자연과학 |
 화사한 파워포인트 템플릿 ( 26Pages )
메인슬라이드 1장, 엔딩 슬라이드 1장, 다이어그램 슬라이드 23장, 2가지 스타일의 속지를 제공합니다. 용도:사업계획서,제안서,프레젠테이션시 유용하게 내용을 작성해서 사용할수 있습니다. 글꼴모든 글꼴은 오피스 기본 글꼴로 설정되어 있으며, 사용자의 선호에 따라 변경 가능합니다. 글자색은 서식 > 글꼴에서 지정할 수 있으며, 줄 간격은 서식 > 줄 간격에서 조절할 수 있습니다. 슬라이드 마..
서식 > 파워포인트양식 |
파워포인트, ppt, 다이어그램, 프리젠테이션, 레포트발표, 레포트만들기
 화사한 꽃 파워포인트 템플릿 ( 26Pages )
메인슬라이드 1장, 엔딩 슬라이드 1장, 다이어그램 슬라이드 23장, 2가지 스타일의 속지를 제공합니다. 용도:사업계획서,제안서,프레젠테이션시 유용하게 내용을 작성해서 사용할수 있습니다. 글꼴모든 글꼴은 오피스 기본 글꼴로 설정되어 있으며, 사용자의 선호에 따라 변경 가능합니다. 글자색은 서식 > 글꼴에서 지정할 수 있으며, 줄 간격은 서식 > 줄 간격에서 조절할 수 있습니다. 슬라이드 마..
서식 > 파워포인트양식 |
파워포인트, ppt, 프리젠테이션, 레포트발표, 레포트만들기
 온라인 마케팅 제안서(E-Marketing Guide) ( 27Pages )
Introduction of DAILYPHARM Introduction of E-Marketing E-Marketing Tools E-Marketing Effect E-Marketing Sample & Price 별첨 : 데일리팜 광고 위치 및 가격
비지니스 > 제안서 |
 VHDL 설계 언어 실습(문법적용) ( 26Pages )
◆ logic1 1.소스 library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a= 1 ) or (b= 1 ) then w [= 1 ; else w [= 0 ; end if; end process; no2: process(b,c) begin if (b= 0 ) or (c= 0 ) then x [= 1 ; else x [= 0 ; end if; end..
리포트 > 공학/기술 |
 일반화학실험 - Ascorbic acid의 분자량 ( 6Pages )
결과 보고서 Ascorbic acid의 분자량 1. Abstract 상온에서 기체인 화합물에 대해서는 아보가드로의 원리를 이용해서 분자량을 잴 수 있지만, 100년 전만해도 상온에서 액체나 고체인 화합물의 분자량을 결정하는 것을 아주 어려운 일이었는데, 다행히 어는점 내림(freezing point depression)이라는 현상이 있어서 분자량을 결정할 수 있었다.① 이번 실험에서는 어는점 내림을 이용해서 분자량을 측정..
리포트 > 자연과학 |
 시 료 ( samples ) ( 6Pages )
Subject : 시 료 ( samples ) I. 서 론 미생물 실험시 시료 채취는 가장 기본적인 수단의 하나로써 복잡미묘한 미생물의 변동법칙을 해명하려 할때나 기타 자연물에서 미생물을 간단하게 또는 분리시키려 할때 그 시료를 어떠한 곳에서 언제, 어떠한 방법으로 채취할 것인가 하는 시료 채취가 가장 문제시 되는 것이다. 따라서, 이러한 제반사항에 비추어 시료채취장소, 시기, 방법 및 기구에 대해 알아 보..
리포트 > 자연과학 |
자연과학
 [신소재공학] Sputtering을 이용한 Ti 증착(요소설계) - 반도체 형성 원리에 대해 ( 31Pages )
Sputtering을 이용한 Ti 증착 목차 실험 목적 실험 이론 실험 방법 결과 및 토의 1. 실험 목적 Working pressure를 변화 시킬 때 두께와 저항의 변화를 그래프를 이용해 분석하여 Working pressure와 두께, 저항의 관계를 알아본다. 2. 실험 이론-Sputter의 원리 구슬치기의 원리 스퍼터링 장치의 구성 Vacuum chamber Target-Ti 특성 원자번호 22번, 원자량 47.88 가볍고, 강하고, 내식성이 크다. 비자..
리포트 > 공학/기술 |
 떡,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 24Pages )
Rice Cafe Assignment Ⅰ 1. Identify problem Our primarily customer group Kyung Hee university student How should I communicate or promote my product to this group Survey the people around the University 2. Plan Research Design How many 16 questions written survey Most of the questions are close ended questions and few of them are open ended questions. What type of..
리포트 > 경영/경제 |
 가격및추가견본요청서신 ( 1Pages )
가격 및 추가견본 요청서신 Han Heung Moolsan Co., Ltd. Gentofte 15.6.1999, BookChang-dong, Choong-ku Seoul, Korea Dear Sirs, We thank you very much for the 3 samples concerning your invoice of 25.5. 1999 but we miss the prices and color cuts. If your prices are competitive we can sell a lot of your goods. The samples you sent us is in the right line, and we ask you ..
서식 > 회사서식 |
 마케팅.. ( 37Pages )
Marketing Household survey panels How much do following rules affect sample size Purpose-연구목적 가정패널조사 (Household Panel Survey) 패널 조사 -조사대상을 고정시키고, 동일한 조사대상에 대하여 동일질문을 반복 실시하여 조사하는 방법 Purpose-연구목적 처음 조사 대상을 선정하는 것 샘플링 (Sampling) 샘플링 된 사람 샘플링 된 개인이 있는 가정의 가족 구성원 모두가 조사대상..
리포트 > 경영/경제 |
 실험보고서 - 밀도구배관을 이용한 결정화도측정 결과보고서 ( 5Pages )
밀도구배관을 이용한 결정화도측정 결과보고서 초록 (Abstract) 이번 실험은 Density gradient column을 이용하여 고분자의 밀도 값을 구해보고 이를 통한 고분자의 밀도와 결정화도의 상관관계를 이해하는데 목적이 있다. 밀도는 기본적으로 화학 구조 및 형태학적 구조로부터 나타나는 기본적인 물성으로 부피의 단위당 질량을 나타내는 값이다. 결정화도는 고분자 전체에 대해서 결정부분이 차지하는 ..
리포트 > 자연과학 |
 Nyquists_Theorem과_Shannons_Theorem ( 10Pages )
Nyquist‘s Theorem과 Shannon's Theorem ■ Nyquist's Theorem Analog signal을 sampling하여 digital화 할때, 그 시점을 결정하는 sampling 주기는 원래 signal의 2배 이상이 되어야 한다는 것인데, 그것은 시그널의 Power spectral desity라는 형태의 일종의 Fourier transform에 의한 frequency domain에서의 형태 비교에 의해서 증명이 된다. Sampling frequency가 original signal의 두배가 되..
리포트 > 기타 |
리포트, 레포트
1 2 3 4 5 6 7 8 9 10