전체 (검색결과 약 878개 중 19페이지)

 모바일 웹 기반 애플리케이션 Case Study ( 40Pages )
모바일 웹 기반 관련 서비스 및 애플리케이션에 관한 총괄적인 지식을 습득할 수 있는 문서입니다. 유무선 네트워크 구성도 Mobile Application Server 모바일 웹 기반 애플리케이션의 적용 분야 모바일 단말기의 구성 모바일 단말기의 구성도 모바일 단말기의 종류 모바일 웹 서버의 구성 모바일 웹 서버 구성도 모바일 웹 서버 구성 시 고려 사항 이통사 지원 Markup 언어 및 이미지 모바일 네..
정보/기술 > 정보통신 |
 탐브랜즈-문화적 저항의 극복( Tambrands-Overcoming Cultural Resistance ) ( 4Pages )
국제마케팅론 - CASE STUDY로 International Marketing, 13th edition, Phillip Cateora and John Graham, Irwin/McGraw Hill. pp.656-658 case study을 읽고 해당문제의 답안을 작성한 것임. 1. Proter & Gamble의 부분이 되어가고 있는 탐브랜즈(tambrands)의 지혜에 대해 평가해 보시오. 2. 탐브랜즈는 각 클러스트 시장에서 유사한 방법으로 글로벌 광고계획을 나타냈다. 그 목표를 토론해 보시오. P&G..
리포트 > 경영/경제 |
국제경영, 글로벌 경영, 경영전략
 [신경계 물리치료 사례연구] 신경계case - 안면마비 ( 18Pages )
신경계 물리치료학 사례연구 M.R. awake with inability to move the left side of his face. His neurologic examination was normal, including facial sensation and control of the muscles of mastication and the tongue, except for the following: *Drooping of the left side of the face *Complete lack of movement of the muscles of facial expression on the left. Examples include M.R..
리포트 > 의/약학 |
 통계,인구증가,마케이전략,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례 ( 18Pages )
인구통계적 및 사회계층 세계 인구 증가 추이 한국의 인구 증가 추이 여성의 경제활동 참가율 마케팅전략 개발을 위한 적용 -Marketing Case 6. Targeting Trend 1인 가구의 증가 수도권 인구 밀도 변화율 저소득층 가구주 부모의 교육수준
리포트 > 경영/경제 |
 세스코 CESCO 기업분석과 서비스전략분석및 세스코 SWOT분분석과 마케팅전략분석및 미래전략제안 PPT 레포트 ( 34Pages )
표지 -CESCO의 서비스마케팅- INDEX 1. 세스코 CESCO 기업소개 (1) CESCO 소개 (2) CESCO 연혁 (3) CESCO 기업비전과 미션 (4) CESCO의 사업분야 (5) CESCO의 1:1 서비스 컨설턴트 (6) CEO소개 2. CESCO 내,외부 상황과 마케팅전략분석 (1) CESCO의 서비스분석 (2) CESCO Zone소개및 영향과 효과분석 (3) 세스코의 서비스 마케팅전략 분석 (4) CESCO 지각도와 선택의 이유 (5) CESCO SWOT분석 3. 결론 및 ..
리포트 > 경영/경제 |
 사례관리의 정의에 대해 설명하고 기능에 대해 논하시오. ( 2Pages )
사례관리의 정의에 대해 설명하고 기능에 대해 논하시오.에 대한 레포트 자료. 사례관리의 정의에 대해 설명하고 기능에 대해 논하시오. 사례관리(Case management)는 다양한 문제와 복잡한 욕구로 인하여 여러 가지 도움을 동시에 필요로 하는 클라이언트에게 종합적인 서비스를 체계적으로 연계하여 적합한 서비스를 제공하기 위한 실천방법이다. 사례관리는 사회복지실천의 전통적인 방법인 개별실천, ..
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 회의운영의 문제점에 대한 해결방안 ( 3Pages )
본 자료는 [회의운영의 문제점에 대한 해결방안]에 대해 소개한 자료로 회의운영의 문제점 [Case 제시]와 회의운영시 문제점에 대한 해결방안을 5가지 측면에서 논리적으로 정리한 자료입니다. 여러분들께도 많은 도움이 되었으면 좋겠네요^^ 1. 회의운영의 문제점 [Case 제시] 2. 회의운영시 문제점에 대한 해결방안 [Problem 1] “품질 불량에 관한 고객들의 불만”이라는 애매한 표현 사용 [Problem 2..
리포트 > 경영/경제 |
회의운영의 문제점, 회의운영시 문제점 해결방안, 경직된 회의분위기, 문제해결 회의진행프로세스, 회의운영 문제점 Case
 사회복지조사방법론(김민정,이수현) (2) ( 30Pages )
사회복지조사방법론단일사례조사설계 - 목차 - Ⅰ. 서론 -단일사례조사설계란 -탐구하고자 하는 주요 질문 Ⅱ. 본론 1.단일사례조사의 유래와 특성 2.단일사례조사의 과정 3. 단일사례조사의 유형 4.단일사례조사의 결과 분석 5. 단일사례조사의 장단점 Ⅲ. 결론 Ⅰ. 서론 단일사례조사설계(Single System Designs) 란 비교적 이해하고 활용하기 쉬운 조사설계로서 사회복지사가 클라이언트의..
리포트 > 사회과학 |
 정보시스템 개발방법론 및 개발도구 ( 8Pages )
정보시스템 개발방법론 및 개발도구 목차 * 정보시스템 개발방법론 및 개발도구 Ⅰ. 시스템 개발 수명주기(SDLC) Ⅱ. 프로토타이핑 1. 프로토타이핑 기법 2. 프로토타이핑 형태 3. 프로토타이핑의 효과와 장점 Ⅲ. CASE 1. 컴퓨터지원 소프트웨어 엔지니어링(CASE) 2. CASE의 선택방안 Ⅳ. 객체지향 개발방법론 1. 객체지향 개발방법과 전통적인 개발방법과의 차이점 2. 객체지향 기술의 발전 정보..
리포트 > 경영/경제 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 Maestro leadersh,리더십,리더쉽 ( 11Pages )
Maestro leadership (6/19th) Contents 1. Introduction 1.1- leadership s trend 1.2- what is maestro leadership 2. Body 2.1- What is orchestra 2.2- Structural similarity between company and orchestra 2.3- Introduction of Seoul Philharmonic 2.4- Who is Ahn Dang what interviewed from him 2.5- Current problems and solutions 3. Conclusion 3.1- Case of benchmarking program 3..
리포트 > 사회과학 |
 소셜커머스,지금샵,공동구매,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례 ( 26Pages )
Social commerce contents 소셜커머스란 G-old # Marketing Strategy Conclusion 전자상거래의 일종 반값 할인 쇼핑몰 소셜 미디어 온라인 미디어 소셜 네트워킹 소셜커머스 G-old # Marketing Strategy Conclusion 소셜커머스 G-old # Strategy Conclusion Strategy Maketing Conclusion Strategy Background 지금샵 Case Alternative Conclusion 소셜커머스 G-old # Marketing Strategy Conclusion 소셜..
리포트 > 경영/경제 |
 _서비스마케팅_ ( 17Pages )
서비스 물리적 증거관리 목차 1. 서비스 물리적 증거관리란 2. 물리적 환경의 3가지 차원 3. 물리적 환경의 영향 4. 물리적 환경의 역할 5. 혼잡성 (제고 사례) 에펠 탑은 파리에 있지 않다 Paris Hotel (Las Vegas) Think Different 서비스 물리적 환경 1. 서비스 물리적 증거관리란 외부환경 내부환경 물리적 증거란 시설의 외형, 간판 등의 안내 표지판, 주차장, 주변 환경 등 내부 장식과 표지판, 벽의..
리포트 > 경영/경제 |
 사례관리의 정의에 대해 설명하고 기능에 대해 논하시오 ( 2Pages )
사례관리의 정의에 대해 설명하고 기능에 대해 논하시오에 대한 레포트 자료. 사례관리의 정의에 대해 설명하고 기능에 대해 논하시오 사례관리에 대한 정의를 논할 때는 항상 Case와 Care에 대한 개념정의에 관심을 갖게 된다. 미국이나 우리나라 등에서 사용하고 있는 Case Management는 개별화된 클라이언트에 초점을 맞추는 경우이고, 영국이나 일본 등에서 주로 사용하는 Care Management는 지역사회(..
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 [이슈및위기관리론]JetBlue_최종본 ( 33Pages )
JetBlue’s Crisis on Valentine s day JetBlue Case Analysis Contents Case Analysis 01. Company Description JetBlue Airways Company Description Part 1. One of American low-cost airlines in Queens, NY Hub airport : John F. Kennedy International Airport (JFK) / more than 60% of jetBlue planes use a day Unlike other low-cost airlines, Differentiated services such as wide and comfo..
리포트 > 경영/경제 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
11 12 13 14 15 16 17 18 19 20