전체 (검색결과 약 1,271개 중 14페이지)

 양송이버섯 농장 생육상태 진단(농장컨설팅) ( 5Pages )
양송이버섯 농장의 단계별 생육상태 진단 및 재배기술 자료입니다. Ⅰ. 실험실습 교육 계획서  1. 실습내용  2. 실습재료  3. 실습방법 Ⅱ. 실험실습 교육 결과보고서  1. 실습준비   (1) 사전 준비 사항   (2) 실습 준비 재료 2. 실습내용   (1) A농장-양송이버섯 생육상태 진단 및 단계별 재배기술(종균선택, 접종, 복토, 관리) (2) B농장-양송이버섯 생육상태 진단 및 단계별 재배기술(..
리포트 > 농/수산학 |
양송이생육진단, 양송이컨설팅, 양송이재배기술, 양송이버섯, 양송이종균, 양송이퇴비, 양송이배지, 양송이복토, 양송이초발이, 양송이병해충
 [프로그래밍 및 실습]C++을 이용한 행렬식 계산 ( 9Pages )
프로그래밍 및 실습 목차 행렬식 계산 C++로 나타낸 행렬식 계산 결과값 고찰 행렬식 계산 -행렬을 어떠한 하나의 실수 값으로 표현한 것 -행렬식의 성질 (1) 행렬식은 오직 정방 행렬에서만 정의된다. (2) 구성 성분이 하나인 행렬의 행렬식은 그 성분 자체이다. (3) 행렬식의 값은 하나의 상수 즉, 임의의 실수이다. (4) n차의 행렬식 |An*n|은 n개의 행과 열의 위치가 서로 다른 성분들의 곱의 합으..
리포트 > 공학/기술 |
 간경화 케이스 실습보고서 ( 19Pages )
【사례 보고서】 1. 일반적 정보. A. 실습병동 : 81W - IM. -환자이름 : 최○○ -나이 : 33세 -성별 : M -입원일 : 2003. 5. 12 ER → CCU. 2003. 5. 14 CCU→81W. -진단명 : alcoholic Liver Cirrhosis. -실습기간 : 2003. 5. 26 ~ 2003. 5. 30. -수술은 하지 않음. -퇴원일 : 미정. -자료 제공자 : 본인 보호자. B. 교육 -대학교 중퇴 (고졸) (pt) □ ― ○ C. 결혼여부 (8세)○ ― □(5세) -기혼 D. ..
리포트 > 의/약학 |
 디지털논리회로 실습 보고서 - 비동기식 카운터 ( 3Pages )
논리회로 실습 보고서 - 비동기식 카운터 7476 IC 핀 배치도를 참조하여 아래 그림과 같은 비동기식 회로를 구성한다. 여기서 7476의 2개를 사용한다. 7476의 13번 핀은 접지하고, 5번 핀은 +5V의 전압을 인가한다. CLR을 Low에서 High로 하여 모든 플립플롭의 출력을 0으로 초기화한다. 클록펄스(CP)를 순차적으로 인가하면서 출력 A, B, C, D의 상태를 표에 기록하여라. 또 그 결과를 타이밍도로 그려 ..
리포트 > 공학/기술 |
 [레포트] 수의학과 생리학 실습 - Touch and Taste ( 9Pages )
생리학 실험2-1 생리학실습 ~Touch and Taste~ ○Introduction - 자극에 대해 반응하는 감각계의 네 가지 특징 Modality : 어떠한 자극인가 Intensity : 자극의 강도는 어떠한가 Duration : 자극이 얼마나 지속되는가 Lacation : 자극을 받는 장소는 어떻게 되는가 - Cutaneous sensation 기계적 자극(Mechanoreceptor, myelinated fibres) 열과 냉각에 대한 감각(Thermoreceptor, unmyelinated f..
리포트 > 의/약학 |
 보육실습일지(4주간일지, 어린이집 보육실습일지, 실습활동내용상세기록) ( 38Pages )
직접 작성한 실습일지입니다. 잘되어서 뿌듯하고 학점도 잘 받았습니다. 08:30 - 10:00 교육실습생의 기본자세 휴가철 자율 출석으로 꽃들반, 산들반 아이들이 모두 모여 자유선택 놀이를 하였다. 가방을 자기 이름 사물함 속에 넣고 장난감을 선택해서 놀이를 하였는데 그 시간에 선생님은 아이들 손톱을 깍아 주었다. 10:00 - 10:30 1. 수업 10분 전에 피아노로 장난감을 치우자고 알려주고 아이들에게 ..
리포트 > 사회과학 |
보육실습, 보육실습일지, 어린이집실습, 주간보육계획안, 일일보육실습일지, 지도교사평가, 실습평가보고서, 보육현장실습
 (A+ 보고서) 사회복지 실습기관 분석보고서 ( 13Pages )
사회복지 실습기관(월곡종합사회복지관)에 대한 A+ 분석보고서입니다. I. 기관개요 1. 기 관 명 2. 기관주소 3. 기관연혁 4. 기관주요사업 5. 운영주체 6. 시설규모 및 기관이미지 1) 시설규모 2) 기관이미지 II. 기관환경 1. 재원(Funding Sources) 2 후원사업 및 비현금적 자원(Noncash Revenues) 3. 클라이언트 특성 III. 기관-환경의 역동성 1. 클라이언트와의 관계 2. 타기..
리포트 > 사회과학 |
사회복지실습, 기관분석보고서, 사회복지, 사회복지현장실습, 사회복지실습
 철골접합부 실습 ( 14Pages )
철골 접합부 실습에 관한 자세한 조사와 직접 실습한 모형을 바탕으로 한 사진과 자료들입니다. 1. 실습 개요 1.1 실험 목적 1.2 일반 사항 1.3 팀 구성 및 역할 2. 철골 구조 2.1 개론 2.2 철골 구조의 장단점 1) 장점 2) 단점 2.3 철골 라멘 구조 2.4 구조형식에 따른 접합방법 1) 강접 골조구조 2) 핀구조 3. 접합 상세 3.1 접합의 개요 3.2 힘의 전단형식에 따른 접합의 종류 1) 힌지접합 2) 강접..
리포트 > 공학/기술 |
철골 접합부, 강구조, 철구조, 라멘구조, 강구조설계, 철골접합부실습
 기계공작법 실습 - 주물사 시험법 실습 ( 7Pages )
주물사 시험법 실습 보고서 1. 실 습 내 용 1) 실 습 내 용 시편제작, 통기도, 강도 수분량, 점터분, 입도 등의 측정을 통하여 주물사의 특성을 이해시키고, 실험에 대한 기본 소양을 기른다. 2) 사 용 기 기 시험편 다짐기, 건조기, 강도시험기, 통기도 시험기, 세척기, 세척기 그릇, 데시게이트, 입도 측정 시험기, 체, 비이커, 스포이트, 저울, 초시계, 시험통 3) 사 용 재 료 주물사, NaOH, 물 4) ..
리포트 > 공학/기술 |
 [기본 측량학 실습] 수준측량 ( 3Pages )
[기본 측량학 실습] 수준측량 1.개요 레벨과 수준척을 사용하여 본관 둘레의 표고를 측정하여 도면을 작성한다. 2.방법 1.본관에서 측량 기준점을 잡는다.(기준점의 지반고는 500m로 한다.) 2.기준점에 수준척을 세운다. 3.레벨을 삼각대와 결합한 후, 10m 이내의 수준척이 측정 가능한 지점에 레벨을 세우고 기포관과 정준장 치를 이용해 수평을 맞춰 세운다. 4.레벨로 수준척을 보며, 초점을 맞추고, 후..
리포트 > 공학/기술 |
 생화학실습 ( 69Pages )
Molecular pathways of motor neuron injury in amyotrophic lateral sclerosis 생화학실습 CONTENTS INTRODUCTION PATHOGENIC MECHANISM MOTOR NEURON VULNERABILITY FROM MOLECULAR TO CLINICAL FEATURES CONCLUSION INTRODUCTION Introduction ALS (Amyotrophic lateral sclerosis) - ALS 란 - a.k.a 루게릭 병 - 10만명 중 2명 꼴로 발생 (Kii 반도와 괌에서 높은 빈도) - 주로 55-60세에 발병, ..
리포트 > 공학/기술 |
 화산과 암석(현무암 만들기실습PPt) ( 23Pages )
초등학생 대상으로 한 화산과 암석에 대한 이론 설명 현무암 만들기 실습. 화산과 암석에 대해 알아보자. N B R이란? 현무암이란 ?? 현무암 만들기. 만드는 방법 화산활동이란 ? 우리나라의 화산 화 산 분 출 물 화산에 의해 만들어진 암석 현무암 과 화강암 우리나라 현무암과 화강암으로 이루어진 곳 현무암과 화강암의 특징 알갱이의 크기가 차이 나는 이유? 화산이 우리에게 주는 피해 화산이 우리에게..
리포트 > 경영/경제 |
화산과 암석, 화산, 암석, 현무암만들기, 현무암
 [컴퓨터공학] 임베디드 실습 장비인 PXA-255 Pro2를 이용한 TV 수신기 시스템 구축 제안 ( 7Pages )
프로젝트 제안서 임베디드 실습 장비인 PXA-255 Pro2를 이용한 TV 수신기 시스템 구축 제안의 배경 및 목적 최근 국내외에서 SoC와 임베디드 시스템(Embedded System)에 대하여 많은 관심과 개발 경쟁이 한층 더해 가고 있다. 특히 삼성전자에서는 SoC 엔지니어 대량 확보 경쟁에 나섰으며, 가전기기를 포함한 모든 제품에 ARM용 프로세서, SoC를 적용하여 임베디드 시스템화를 하고 있다. 정부나 언론매체..
리포트 > 공학/기술 |
 모바일 앱 웹 서비스 기획 실습 보고서 자료 ( 22Pages )
모바일 (앱, 웹) 기획 실습자료입니다. '신문왔어요' 라는 어플/모바일 웹을 만들기 전 간략하게 고객의 니즈 파악, 시사점, 시장 분석 등 상세 기획안 작성 방법 예시를 포함하고 있습니다. 1. Ideation 2. 서비스 기획 Documentation 실습 1) 시장(경쟁) 환경 분석 2) 시사점 3) 컨셉 4) 포지셔닝 5) 수익모델 3. 실행 계획서 (UI, 프로모션, 로드맵) 1) 디자인 UI/GUI 컨셉 2) 화면 기획안 3) 프로..
리포트 > 경영/경제 |
기획, , , 어플, 어플리케이션, 모바일 서비스, 실습, 보고서, 작성
 [디지털논리회로 실습 보고서] Exclusive-OR 게이트 ( 8Pages )
[논리회로 실습 보고서] Exclusive-OR 게이트 7404 IC, 7408 IC, 7432 IC의 핀 배치도를 참조하여 아래 회로를 구성한다. 7404, 7408, 7432의 7번 핀은 접지하며, 14번 핀은 +5V의 전압을 인가한다. A와 B에 입력을 표와 같이 변화시키면서 출력 상태를 기록하여라. BAX000011101110 ▌시뮬레이션▐ 회로 결과 7400 IC 핀 배치도를 참조하여 아래 회로를 구성한다. 7400의 7번 핀은 접지하며, 14번 핀..
리포트 > 공학/기술 |
11 12 13 14 15 16 17 18 19 20