전체 (검색결과 약 42,510개 중 12페이지)

 사회복지기관실습종료보고서_종합사회복지관 ( 7Pages )
사회복지기관실습종료보고서_종합사회복지관 실 습 기 관 운 영 주 체 기관(시설)명 종로종합사회복지관 법 인 명 우리모두복지재단 소 재 지 서울시 종로구 창신 3동 소 재 지 종로구 이화동 90-11 대 표 지송자 관장 대 표 김준문 이사장 사 업 종 별 지역사회종합복지관 종교적 배경 기독교 설 치 근 거 기독교 정신을 바탕으로 이웃 사랑을 실천하기 위해 설립. 설 ..
리포트 > 생활/환경 |
사회복지기관실습종료보고서, 종합사회복지관
 양송이버섯 배지생산 일괄시스템(특허기술) ( 4Pages )
양송이버섯 재배기술 중 퇴비배지 생산의 일괄시스템 적용을 통한 재배기술에 대해 정리했습니다. I. 실험 실습 교육 계획서 1. 실습 내용 2. 실습 재료 3. 실습 방법 II. 실험 실습 교육 결과보고서 1. 실습 준비 2. 실습 내용 3. 실습 결과 및 분임토의 4. 건의사항 및 교육 요청 1. 실습 준비 (1) 사전 준비 사항 - 양송이버섯 배지생산 일괄시스템 특허기술 이론 교육 실시 (2) 실습 준비 재료 - 양..
리포트 > 농/수산학 |
양송이버섯 재배기술, 양송이버섯, 양송이버섯 배지생산, 양송이버섯 퇴비생산, 양송이버섯 퇴비발효, 양송이버섯 특허, 농업 특허, 농장 생산 특허
 결과 분석 및 보고서 - GPS에 대한 이해 및 적용 실습 결과 보고서 ( 5Pages )
결과 분석 및 보고서 - 지능형 자동차 및 지능형 교통시스템에서의 GPS 응용사례 ■ 지능형 자동차 GPS 응용사례 ▶ BIS (Bus Information System) : 각 버스에 위성항법장치(GPS)를 설치하여 인공위성과 연결시켜, 운행상황을 교통정보센터로 보내면 이를 각 정류장에 설치된 디지털 안내판에 표시해준다. 따라서 승객들이 몇 분 뒤에 버스를 탈 수 있는지 확인할 수 있고, 버스를 타고 있는 승객도 언제 목..
리포트 > 공학/기술 |
 한우 환축 주사법 및 해부를 통한 폐사 원인 진단 ( 5Pages )
한우 환축 주사법 및 해부를 통한 폐사 원인 진단에 대해 직접 현장 실습을 통해 작성한 레포트입니다. Ⅰ. 실험실습 교육 계획서 1. 실습내용 2. 실습재료 3. 실습방법 Ⅱ. 실험실습 교육 결과보고서 1. 실습내용 (1) 사전 준비 사항 (2) 실습 준비 재료 (3) 실습 내용1(환축 질병 진단) (4) 실습 내용2(환축 주사 방법) (5) 실습 내용3(환축 해부를 통한 폐사 원인 진단) 2. 실험 결과에 대한 의견 3. 분..
리포트 > 농/수산학 |
한우질병, 한우해부, 한우주사, 한우질병진단, 요걸석진단, 가축질병, 가축해부, 가축주사, 가축질병진단, 가축요결석 진단
 양송이버섯 단계별 재배기술(부여지역) ( 6Pages )
부여지역 양송이버섯 농장의 단계별 재배기술에 대해 정리했습니다. I. 실험 실습 교육 계획서 1. 실습 내용 2. 실습 재료 3. 실습 방법 II. 실험 실습 교육 결과보고서 1. 실습 준비 2. 실습 내용 3. 실습 결과 및 분임토의 4. 건의사항 및 교육 요청 1. 실습 준비 (1) 사전 준비 사항 - 농장 재배현황 자료 제공 (2) 실습 준비 재료 - 농장 재배사 및 재배버섯 2. 실습 내용 (1) 부여 1농장 양송이버섯..
리포트 > 농/수산학 |
버섯학, 양송이버섯, 양송이버섯 재배기술, 양송이버섯 현장컨설팅, 양송이버섯 컨설팅, 양송이버섯 농장컨설팅, 양송이버섯 농장견학
 버섯학 - 표고버섯 원목 재배 ( 3Pages )
실습 보고서 실습제목 : 표고버섯 원목 재배 실습목적 : 실습을 통한 버섯재배 방법 이해 실습도구 : 12mm 드릴, 원목, 톱밥성형종균(캡슐종균), 차광막 실습내용 : 원목선택 - 천공 - 접종 - 재배 (1)원목선택 표고재배에 좋은 원목-표고 균의 생육에 필요한 양분이 형성층에 많이 함유된 것 ① 변재부가 많다. ② 껍질이 매끄럽다. ③ 병해충의 피해가 없는 10~20cm 굵기의 원목. ④ 골목의 수명이 길..
리포트 > 사회과학 |
 무인항공기 설계에 관한 보고서 ( 12Pages )
무인항공기설계 1. 설계 목적 2. 실습자재 3. 제작 시 주의사항 4. 제작공정 (동체-주익-미익) 5. 이론 배경 6. 무게중심 및 자세 안정성 7. 비행 실험 결과 8. 보완 사항 9. 실험 후기 1. 설계 목적 : 무인항공기를 설계 하면서 제일 우선 생각했던 것이 체공시간을 길게, 장거리 운항을 염두에 두고 제작을 시작하였다. 그러기 위해 우선 항공기 전체의 무게를 줄일수 있는 방법을 생각하게 ..
리포트 > 공학/기술 |
 [아동검사] 아동검사 평가보고서 작성원칙(아동평가보고서 작성방법) ( 16Pages )
[아동검사] 아동검사 평가보고서 작성원칙(아동평가보고서 작성방법) 목차 [아동검사] 아동검사 평가보고서 작성원칙 1. 평가결과의 조직화 1) 공통주제 찾기 2) 주요 결과들의 통합 3) 이론적 근거 사용 2. 포함시킬 자료 결정하기 3. 일반화하기 4. 확신 있는 진술 5. 추론과 결론을 지지하는 예 사용하기 6. 지적 수준을 중심으로 보고하기 7. 검사 결과의 보고와 해석 8. IQ분류에 대한 해석 9. ..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 문제점
 생리학 실험보고서-심전도의 이해 ( 19Pages )
생리학 실습 보고서 심 전 도 1. 서론 심전도(electrocradiogram)란 무엇인가 그 원리에 대하여 살펴보자. (1) 심장의 구조 1) 심막(Pericardium) - 크게 외측의 섬유성 심막과 내측의 장막성 심막으로 나뉘는데 장막성 심막은 벽측과 장층으로 나뉘어지고 장층(심상막, 심외막) 안쪽으로 심근층과 심내막이 있다. 또, 장막성 심막의 벽측과 장측사이에는 심막액이 있어 마찰방지 역할을 한다. 2) 심장벽..
리포트 > 의/약학 |
 정보통신 실습 - 부울대수의 정리(결과 보고서) ( 6Pages )
부울대수의 정리 1. 실험 목적 ▣ 부울대수(Boolen algebra)의 기본적인 공리와 정리를 이해하고 증명한다. ▣ 부울대수식을 이용한 논리회로의 간략화 및 논리식 표현을 익힌다. ▣ 다양한 논리회로를 부울대수식으로 표현하는 능력을 배양한다. 2. 실험 결과 그림 2-3 AND-OR과 OR-AND 게이트 실험회로 D 표 1 AND-OR과 OR-AND 게이트 실험회로 입력 신호 출력 신호 A B C X Y 0 0 0 155 mV 155.3 mV 0 0 ..
리포트 > 공학/기술 |
 사회복지기관실습종료보고서_정신보건센터 ( 5Pages )
사회복지기관실습종료보고서_정신보건센터 실 습 기 관 운 영 주 체 기관(시설)명 서대문정신보건센터 법 인 명 서대문정신보건센터 소 재 지 서대문구 연희 3동 165-2(서대문보건소 지하1층) 소 재 지 서대문구 연희 3동(서대문보건소) 대 표 김병후 정신과 의원 대 표 서울특별시 사 업 종 별 지역사회 정신보건사업 종교적 배경 설 치 근 거 서울시 지원 지역정신보건..
리포트 > 생활/환경 |
사회복지기관실습종료보고서, 정신보건센터
 정보통신 실습 - AND_OR_NOT 게이트 실험(결과 보고서) ( 4Pages )
ANDORNOT 게이트 실험 1. 실험 목적 ▣ 논리 게이트인 AND, OR, NOT 게이트의 동작특성을 이해한다. ▣ AND, OR, NOT 게이트의 진리표와 논리식을 실험을 통해 확인한다. 2. 실험 결과 1 : (+), 0 : (-) 표 1 AND, OR, NOT 게이트 실험 데이터 (5V의 전압을 걸어 주었을 때) A B C 전압 0 0 0 4.39 V 0 0 1 155 mV 0 1 0 4.38 V 0 1 1 155.2 mV 1 0 0 4.37 V 1 0 1 4.38 V 1 1 0 154.9 mV 1 1 1 155.3 mV ..
리포트 > 공학/기술 |
 (성심병원) 사회복지 현장실습 보고서, 의료사회사업 사례개입 1case 수록 ( 6Pages )
(성심병원) 사회복지 현장실습 보고서, 사례 1case 수록 보고서 입니다. Ⅰ. 사례요약 본 사례는 상앙동악성신생물(비강암) 수술을 받고 퇴원한 환자가 다시 암이 재발하여 경제적 어려움을 호소하여 의료사회복지사가 치료비마련과 퇴원계획을 위해 개입 한 사례이다. Ⅰ. 기관소개 Ⅱ. 실습내용 Ⅰ. 사례요약 Ⅱ. 자료수집단계 Ⅲ. 사정 Ⅳ. 계약 및 개입단계 Ⅰ. 사례요약 본 사례는 2008년 9월 상앙동악성신..
리포트 > 사회과학 |
성심병원, 병원현장실습, 의료사회사업사례, 병원사례개입, 현장실습보고서
 학습자료개발결과보고서 ( 1Pages )
학습자료개발 결과 보고서 학습자료명 학습자료의 구분 수업용 교재 (), 향상교육 교재 (), 실험실습지침서( ) 사이버 강의 (), 디지털 교재 (),기타() 연구책임자 학과 직급 성명 공동 연구자 소속 직급 성명 출판사명 (해당되는 경우) 발행일 관련 교과목명 학년/학기 / 학습자료의 활용 목적 학습자료의 개요 위와 같이 주문식교육 학습자료개발 ..
서식 > 학교서식 |
 전기전자 실험 - 브리지정류회로 결과 보고서 ( 5Pages )
실습목적 -정류다이오드를 이용하여 브리지 정류회로를 구성하고 다이오드 입출력 전압을 비교하여 다이오드의 특성을 파악하고, 정류회로의 기능을 이해한다. 또한, 브리지 정류회로의 장단점을 확인 하여 본다. ◉정류회로의 동작원리 -다이오드는 다이오드 양단에 순방향 바이어스에서는 도통되고 역방향 바이어스에서는 회로를 차단하는 성질을 가지고 있다. 즉, 한쪽방향으로만 전류를 흘려주는 성..
리포트 > 공학/기술 |
11 12 13 14 15 16 17 18 19 20