전체 (검색결과 약 614개 중 10페이지)

 OEM AGREEMENT ( 7Pages )
OEM AGREEMENT THIS AGREEMENT, made and entered into this ___day of ___, 1997, by and between___(BUYER),a California corporation, and XXXX CORP.(ABC),a corporation of the Republic of Korea WITNESSETH: Whereas BUYER has developed, manufactures and sells Disk Dirves;and Whereas BUYER desires to enter into a manufacturing arrangement with a party capable of providing wi..
서식 > 계약서 |
 [Server] Windows 2000 Server 실습 ( 37Pages )
Windows 2000 Server 실습 2000관리도구 사용하기.doc 2000설치.doc ActiveDirectory관리하기.doc Data backup 과 복원(restore)하기.doc DFS(분산 파일 시스템).doc Network print 관리하기.doc network printer 설치하기.doc Network 자원에 대한 모니터링.doc NTFS에서의 자원 관리하기.doc 공유폴더 관리하기.doc 문서.doc 사용자 계정 관리.doc 사용자 그룹계정 만들기.doc 사용자계정..
정보/기술 > 메뉴얼 |
 [정보의 개념과 유형] 정보의 정의, 종류, 특성, 유형, 가치 ( 5Pages )
[정보의 개념과 유형] 정보의 정의, 종류, 특성, 유형, 가치 목차 * 정보의 개념과 유형 Ⅰ. 정보의 정의 Ⅱ. 정보의 종류와 특성 Ⅲ. 정보의 유형과 가치 1. 정보의 유용성 2. 사용자의 만족 3. 오차와 편의 정보의 개념과 유형 I. 정보의 정의 우리 일상의 모든 것이 '아날로그 시대'에서 '디지털 시대'로 변화하고 있는 현대에 있어 '정보(information)'는 우리가 가장 흔히 사용하고 용어 중..
리포트 > 경영/경제 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
 VolP ( 8Pages )
1. VoIP의 정의 VoIP(Voice over Internet Protocol)는 인터넷을 통해 음성과 데이터를 전송하는 기술로, 인터넷 텔레포니(Internet telephony)를 가능하게 하는 핵심기술이다. 데이터를 주고받는 Network와 음성을 주고받는 Network가 별도로 운영되어 왔던 지금까지와는 달리, VoIP 기술은 음성과 데이터를 하나의 Network로 통합하여 Network 구조를 간결하게 구축할 뿐 아니라 추가되는 Network Infra ..
리포트 > 기타 |
리포트, 레포트
 통화 공급에 주된 영향을 주는 요소 ( 6Pages )
Ⅰ. 들어가는 말 1차 보고서의 관점은 우리나라의 통화 공급에 주된 영향을 주는 요소를 검증하는데 목적을 두고 있다. 여러 요소들 중 물가, GNP, 정부지출이 통화량에 미치는 영향을 과거 1977 년 - 1992 년 자료를 통해 검증해 보고자 한다. Ⅱ. 모형 설정 1. 자료 선택의 이유 통화 공급에 영향을 끼치는 요소로서 물가, GNP, 정부지출과 이자율을 들을 수 있으나, 과거 우리나라의 경우 이자율은 시..
리포트 > 경영/경제 |
경영, 경제
 전자상거래의 개념 ( 3Pages )
전자상거래의 개념 전자상거래(EC : Electronic Commerce)는 일반적으로 '기업, 정부기관과 같은 독립된 조직간 또는 조직과 개인 간에 다양한 전자적 매체를 이용하여 상품이나 용역을 교환하는 것'으로 정의할 수 있다. 이러한 전자상거래는 전통적인 기존의 대면 ․ 서면거래와는 달리 가상공간(Cyber Space)상에서 쌍방향적으로 다수, 대량으로 이루어지고 있다.또한 전자상거래는 정형화된 문서 (EDI :..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 한국P&G의 물류혁신전략 ( 27Pages )
본 자료는 한국 P&G의 기업소개를 시작으로 하여 한국 P&G의 물류혁신전략을 1) 물류표준화, 2) 물류공동화, 3) 물류자동화, 4) 물류정보화 등 4가지로 구분하여 각각에 대한 핵심적 물류전략을 체계적으로 설명한 자료임 1. 기업소개 2. 물류혁신전략 (1) 물류표준화 (2) 물류공동화 (3) 물류자동화 (4) 물류정보화 3. 결론 및 시사점 2. 물류혁신전략 (3) 물류자동화 0 RTCIS(Real Time Control & Infor..
리포트 > 사회과학 |
한국P&G의 물류혁신, 한국 P&G 물류센터, SLOG, 소스 마킹, RTCIS, Robotic Palletizing System, TOM, Lot control System
 데이터 베이스의 정의와 데이터 베이스 활용의 장단점 ( 3Pages )
데이터 베이스의 정의와 데이터 베이스 활용의 장단점 1. 데이터 베이스의 정의 ‘데이터베이스란 조직에 있는 데이타가 기계회되고, 공식적으로 정의되고, 중앙통제되어 수집된 것을 의미한다.’ 데어터의 구조에는 階層이 존재한다. 최하위계층에는 데이터 항목(data item)이 있고, 특정의 대상에 대한 관련데이터항목이 모여서 데이터 레코드(data record)를 형성한다. 데이터 레코드에서 각 데이터 항목..
리포트 > 공학/기술 |
 Introducing about Facebook ( 21Pages )
About SNS… About Face book : Lee Chan-yang About twitter : Oh Seong-taek About cyworld : Kim Ji-eun Introducing about Facebook What’s the Facebook Facebook is a one of the social network services. There are over 700 million people who use Facebook In this time, Facebook is a window that politicans can talk to citizen Advantage of Facebook SIMPLE PROFILE MAKING NEW FRIEND ..
리포트 > 경영/경제 |
 [현황자료] Internet Usage Statistics in Korea ( 19Pages )
Internet Usage Statistics in Korea Introduction to the Survey Fieldwork dates 2003. 6. 16. 20 (20 days) Sample Size Households :7,117 households Individuals : 20,227 persons Population Households : 14,311,014 Population : 42,168,811(Year 2000 Census) Sample Eligibility Households : Households in sample districts Individuals : Family members aged 6 or older Data Collection Fac..
비지니스 > 경제동향 |
 화학생물공정 실험 - Liquid Diffusion Coefficient ( 14Pages )
Abstract 이번 실험에서는 Diffusion Cell에서 일어나는 Na+/Cl- 이온의 Diffusion을 Conductivity 측정을 통해 관찰하였다. Conductivity 측정을 통해 정량화된 데이터의 계산으로 Na+/Cl- 이온의 평균 Diffusion Coefficient를 구하였고 이를 이론 값과 비교함으로 이론 식의 성립을 확인하였다. 비록 계산된 Diffusion Coefficient 값은 여러 가지 요인에 의해 이론 값과 꽤 큰 오차를 보였지만 대체적..
리포트 > 자연과학 |
 [심리학연구방법] 삼리학의 연구방법(실험법, 관찰법, 조사법, 검사법, 사례사) ( 6Pages )
[심리학연구방법] 삼리학의 연구방법(실험법, 관찰법, 조사법, 검사법, 사례사) CONTENTS 심리학의 연구방법 I. 과학활동의 과정 II. 연구방법 1. 실험법 2. 관찰법 3. 조사법 4. 검사법 5. 사례사 심리학의 연구방법 심리학자들은 마음이나 행동을 어떻게 연구하는가? 연구방법은 대상을 이해하기 위하여 사용하는 방법으로 그것을 통해서 심리학을 보다 명확하게 이해할 수 있다. 심리학자..
리포트 > 경영/경제 |
개념, 정의, 특징, 문제점
 일반물리 실험 - 솔레노이드 자기장 ( 3Pages )
일반물리 실험 - 솔레노이드 자기장 1. 목적 교류 전류를 인가하여 1차 코일에 형성된 자기장의 변화에 의해 2차 코일에 유도되는 유도기전력을 측정한다. 2. 실험방법 1) 회로를 설치 하여 기기 및 장치를 세팅한다. 2) data를 기록하는 프로그램을 세팅한다. 3) data를 기록한다. ⓵ 전류의 크기에 따른 유도기전력 실험 - amplifier에 1차 코일을 연결하고 내부에 2차 코일을 위치한다. - signa..
리포트 > 자연과학 |
 [일반물리 실험] 솔레노이드 자기장 ( 3Pages )
[일반물리 실험] 솔레노이드 자기장 1. 목적 교류 전류를 인가하여 1차 코일에 형성된 자기장의 변화에 의해 2차 코일에 유도되는 유 도기전력을 측정한다. 2. 실험방법 1) 회로를 설치 하여 기기 및 장치를 세팅한다. 2) data를 기록하는 프로그램을 세팅한다. 3) data를 기록한다. ⓵ 전류의 크기에 따른 유도기전력 실험 - amplifier에 1차 코일을 연결하고 내부에 2차 코일을 위치한다. - sign..
리포트 > 자연과학 |
1 2 3 4 5 6 7 8 9 10