전체 (검색결과 약 193개)

 VHDL 설계 언어 실습(문법적용) ( 26Pages )
◆ logic1 1.소스 library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a= 1 ) or (b= 1 ) then w [= 1 ; else w [= 0 ; end if; end process; no2: process(b,c) begin if (b= 0 ) or (c= 0 ) then x [= 1 ; else x [= 0 ; end if; end..
리포트 > 공학/기술 |
 A+ 2025 전역장교 공채 신입사원 5급 IT&Digital(Back-end) 자소서 ( 4Pages )
결국 프로젝트는 성공적으로 마무리되었고, 이 경험을 통해 '소통과 타협'이 문제를 해결하는 중요한 키라는 교훈을 얻었습니다. 군 복무 중에도 IT기술을 활용한 시스템 관리 및 자동화 작업을 맡아 효율성을 높였고, 팀원들과 협력하여 문제를 해결하는 경험을 했습니다 . 이를 통해 Back-end 분야에서 필요한 문제 해결 능력과 기술적 역량을 쌓을 수 있었습니다. 특히, 금융 분야에서 기술혁신을 통해 ..
서식 > 자기소개서 |
기술, 경험, 통해, 해결, 시스템, 문제, 프로젝트, 서비스, 혁신, 싶다, 협력, 제공, end, back, 회사, , 이다, 개발, 분야, 이유
 A+ PTKOREA 신입사원 개발(Back-end) 공채 자기소개서 ( 4Pages )
공동의 목표 달성을 위해 열린 마음으로 동료와 협력한 경험을 서술해주세요. 저는 Back-end 개발을 전공하면서, 효율적인 시스템 구축과 서비스 최적화를 위한 끊임없는 성장을 추구해왔습니다. 특히, 대학 시절 팀 프로젝트에서 서로 다른 기술 스택을 가진 팀원들과 협업할 때, 서로의 의견을 존중하고 효율적인 소통을 통해 문제를 해결했습니다. 지원 직무 관련, 끊임없는 성장을 위해 노력한 본인만의..
서식 > 자기소개서 |
기술, 경험, 처리, 프로젝트, 효율, 성능, 해결, 방식, 문제, 통해, 개발, 시스템, ptkorea, 동기, 적용, , , 개선, 혁신, back
 디자인마케팅,디자인전략,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 19Pages )
Questionnaire Design CONTENTS Intro Why Questionnaire design is so important Question Phrasing Open-ended response question Close-ended response question Question Phrasing Open-ended response question Some problem or topic and ask respondents to answer their own words Strong point unique unanticipated reaction source of new idea valuable at the beginning of an inter..
리포트 > 경영/경제 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 A++ PTKOREA 개발(Back-end) 신입 ( 5Pages )
PTKOREA에 입사한 후에는, 기존에 익힌 서버 개발 기술을 바탕으로 고성능 서버 시스템을 구축하고, 효율적인 API 설계와 성능 최적화를 통해 회사의 서비스 품질을 한층 더 향상시키고 싶습니다. 특히, 대학 시절 온라인 쇼핑몰 웹사이트 개발 프로젝트에서 기존의 단일 서버 구조에서 발생할 수 있는 트래픽 문제를 해결하기 위해 분산시스템을 도입한 경험이 있습니다. PTKOREA에서 백엔드 개발 직무를 ..
서식 > 자기소개서 |
기술, 개발, 시스템, , ptkorea, 서버, 경험, 통해, 서비스, 백엔드, 프로젝트, 성능, 최적화, 기존, 효율, 해결, 처리, 설계, 구축, 데이터
 verilog 시계[디지털 논리 회로] ( 15Pages )
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_a,min_b,min_a,hour_b,hour_a,night_a,c1k_b,c1k_c); input c1k,reset; output [5:0] comma_a, sec_b, min_b, hour_b; output [4:0] sec_a, min_a; output [2:0] hour_a; output [3:0] night_..
리포트 > 공학/기술 |
 [경제원론 서평] 폴 크루그먼의 지금 당장 이 불황을 끝내라[End This Depression Now!] 을 읽고 ( 5Pages )
케인즈의 해법으로 ‘불황’의 끝을 잡아라 - Paul Krugman, “지금 당장 이 불황을 끝내라!(End This Depression Now!)”을 읽고- 중간고사를 대비하기 위해 “폴 크루그먼의 경제학”을 읽던 중 한 대목에서 갸우뚱해진 일이 있었다. 정부지출의 증가가 이자율을 상승시켜 민간투자를 위축시킨다는 ‘구축효과(crowding effect)’를 설명하는 부분1)이었다. 맨큐와 같은 원론서는 대부자금시장의 공급곡선을 왼..
리포트 > 독후감/서평 |
 [자기소개서] KINX 경력직 사내시스템 Back-End 개발 ( 5Pages )
특히, 제가 이전에 경험했던 클라우드 환경과 대규모 시스템 구축 업무가 KINX에서 요구하는 기술적인 능력과 부합한다고 확신합니다. 특히, 클라우드 기반의 서비스나 대규모 트래픽을 처리하는 시스템을 다룬 경험이 많습니다. 이런 기술적 경험들은 KINX에서 요구하는 시스템 설계 및 관리업무에 잘 부합한다고 생각합니다. 저는 백엔드 시스템을 개선하고 최적화하는 과정에서, 더욱 효율적이고 안정적..
서식 > 자기소개서 |
시스템, 기술, kinx, 경험, 안정, 서비스, 개발, 다양하다, 기업, 최적화, 설계, 서버, , 중요하다, 효율, 클라우드, 백엔드, 싶다, 제공, 개발자
 2025 인크로스 Back-end 개발 직무 자기소개서와 면접자료 ( 4Pages )
특히 대용량 트래픽을 처리하며 사용자 맞춤형 광고를 실시간으로 제공하는 구조에서 백엔드가 수행해야 하는 역할은 단순한 API 개발이 아니라 인프라, 보안, 데이터 설계 전반을 아우르는 복합적인 역량이 필요하다고 생각합니다. 실시간 예약시스템이라는 특성상 트래픽 분산 및 예외처리가 핵심이었기에, Node.js 기반으로 비동기 처리와 오류 핸들링을 철저히 구현했습니다. 백엔드 개발자에게 가장 중..
서식 > 자기소개서 |
시스템, 설계, 백엔드, 처리, 기반, , 트래픽, 경험, api, 구조, 실시간, 광고, 생각, 구현, 크로스, 개발, 이다, 데이터, 단순하다, 진행
 Case Study Cosmetic industry ( 11Pages )
Case Study; Cosmetic industry 01 What does AP need to do in order to compete with the European brands on a global scale 02 At the lower end, who is the market leader in Korea Why Is the lead significant 03 After Misha and The Face Shop, who are the major low end players in Korea 04 What would be the most efficient means of increasing the brands LIST ....
리포트 > 경영/경제 |
 CERTIFICATE OF INCOME AMOUNT ( 1Pages )
CERTIFICATE OF INCOME AMOUNT (YEAR-END SETTLEMENT EARNED INCOME(BUSINESS INCOME) TAX PAYER) ISSUE NO. : TAXPAYER Name : Resident ID Nbr : Address : DATA ITEMS WITHHOLDING AGENT INCOME AMOUNT TOTAL TAX YEAR Name of Company BUSINESS REGISTRATION No. EARNED INCOME YEAR-END TAX ADJUSTMENT (법인명) *** *** 1999 (사업자등록번호) *** *** TOTAL ⇒ **..
서식 > 세무회계서식 |
 일반생물학 실험 - Restriction Enzyme Digestion ( 4Pages )
Restriction Enzyme Digestion   생체 내에서는 필요에 따라 Nucleic acid를 합성하기도 하지만 때로는 분해도 한다. 분해의 방법 중 제일 대표적인 것은 없애야할 Nucleic acid를 잘라버리는 것이다. Nucleic Acid를 자른다는 것은 nucleotide들이 연결되어 있는 phosphodiester bond를 끊어내는 것을 의미한다. 이런 역할을 하는 효소를 nuclease라고 하며 바깥쪽에서부터 자르는가 안쪽을 자르는가에 따..
리포트 > 자연과학 |
1 2 3 4 5 6 7 8 9 10