전체 (검색결과 약 7,878개 중 4페이지)

 Answers ( 3Pages )
1.원문 Answers From Elegy for My Father Why did you travel Because the house was cold. Why did you travel Because it is what I have always done between sunset and sunrise. What did you wear I wore a blue shirt, a white shirt, yellow tie, and yellow socks. What did you wear I wore nothing. A scarf of pain kept me warm. Who did you sleep with I slept with a different wo..
리포트 > 인문/어학 |
인문, 어학
 [디지털 회로설계] 4-Bit D Flip Flop 설계 ( 6Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) 위..
리포트 > 공학/기술 |
 논리회로 실험 - 기초 논리 게이트[basic logic gate]와 부울방정식과 드모르간 법칙 ( 7Pages )
실험. Basic Gates I. 목적 - 기본적인 logic gates(AND, OR, NOT, NAND, NOR, XOR)에 대하여 알아보고 이러한 gate들로 구성된 logic 회로에서의 Boolean equation과 De Morgan의 이론에 대하여 알아본다. II. 실 험 결 과 분 석 (1) 3-input AND gate ⇒ Truth table Input Output A (Volt) B (Volt) C (Volt) Y (Volt) 000 5.95 00 5.95 00 5.95 0000 5.95 5.95 0 5.95 0 5.95 0 5.95 5.95 00 5.95 5.95..
리포트 > 공학/기술 |
 진리란 무엇인가 ( 24Pages )
Runway - 목 차 - 진리란 정의 개념 종류 이미지 토의 일지보고 진리란 眞 理 참 진 다스릴 리 진리의 어원 ‘진리’를 뜻하는 라틴어veritas 유사어 : 유효성 진리란 반대어 『TRUTH』 오류, 거짓 참된것 참된도리 참된이치 사실이 분명하게 맞아 떨어지는 명제 Definition 2. 누구나 인정할 수 있는 보편적이 고 불변적인 참된 이치나 법칙 -데카르트- 철학적 의미 “나는 생각한다, 고로 존재한다.” -..
리포트 > 사회과학 |
 문장의미론 교육론 ( 5Pages )
문장의미론 교육론 Ⅰ. 의미론의 의의 언어의 의미부분을 연구하는 학문으로 언어학에서 의미론은 의미(meaning)의 연구로 보는 반면, 논리학에서는 의미론을 지시관계(linguistic reference)와 진리조건(truth conditions)에 관한 연구로 본다. 1. 의미론의 몇 가지 견해 (1)지시의미론(referential semantics): 한 표현의 의미는 그 표현이 지시하는 것(reference)이다. ‘표현:의미의 관계를 자연스러..
리포트 > 교육학 |
교육학
 psychodrama ( 26Pages )
Psychodrama Psychodrama What is psychodrama 2. Fundamental concepts of Psychodrama 3. Practice of psychodrama 4. Technique of psychodrama 5. Features and effects of psychodrama What Is Psychodrama Psychodrama is a method in which clients are encouraged to search one’s psychological dimensions though acting one’s conflicts - founder: J.L. Moreno Definition Fundamental Concept..
리포트 > 경영/경제 |
 관광의 정의 ( 7Pages )
관광의 정의 목차 관광의 정의 Ⅰ. 관광의 정의 1. 자기 집을 떠나 2. 관광과 여행의 목적 1) 즐거움 2) 친목도모 3) 건강 4) 인격도야 5) 견문확대 6) 효도 7) 충성 8) 생활개선 3. 여행하며 4. 眞 ․ 善 ․ 美의 추구 5. 체험과정 Ⅱ. 관광의 글자의미 관광의 정의 1. 관광의 정의 관광이란 인간이 자기 집을 떠나 즐거움(樂) 친목도모(親), 건강(儒), 인격도야(德), 견문확대(知), 효도(孝), 충성(..
리포트 > 경영/경제 |
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
 휴버먼의 자본론 ( 10Pages )
휴버먼의 자본론 휴버먼의 자본론 ( The truth about Socialism) 요약 미국 역사상 가장 탁월한 저술가 중 한 명으로, 그리고 가장 진보적인 이론가이자 실천가로 꼽히는 리오 휴버먼의 역작. 이 책은 자본주의의 맹주인 미국을 집중 대상으로 삼아 소유, 분배, 노동, 독점, 이윤, 국가, 계급, 정의, 자유, 권력 등 시대를 관통하는 중심 현안들을 하나하나 규명해 나간다. 부자이거나 가난하거나, 강..
리포트 > 경영/경제 |
 미국의 할부거래법 ( 2Pages )
미국의 할부거래법 1. 미국 할부거래법의 입법태양 미국에서는 전통적으로 주법의 영역에서 소액대부법(少額貸付法 - small loans acts), 할부대부법(割賦貸付法 - installment loan laws), 소액할부판매법(少額割賦販賣法 - retailinstallment sales acts)등과 같은 법에 의하여 할부판매를 규제하고 있는데, 이들 주법을 통일하기 위한 노력으로 통일주법전국위원회(統一州法全國委員會)에서 1968년 『..
리포트 > 법학 |
 매장 관리 경력 이력서 ( 1Pages )
지원업체 긴급연락처 010-000-0000 사진 성명 (한글) 홍길동 전화번호 (영문) Hong, Gil Dong 010-000-0000 주민등록번호 000-000 E-mail 주소 연령 만 00 세 주소 서울시 00구 00동 학력사항 1900. 0~1900. 0 00 정보대학 열냉동관리과 (졸업졸업예정중퇴) 1900. 0~1900. 0 00 정보대학 열냉동관리과 (입학편입) (본교분교) 1900. 0~1900. 0 00 여자 상업고등학교 (졸업중퇴) 경력사항 근무처 직..
서식 > 이력서 |
 영시의 특징과 유명시인들의 작품분석 ( 6Pages )
영시의 특징과 유명시인들의 작품분석 Symbol Symbol은 의미를 발산하는 이미지로서 가시적인 물체와 행동은 그 자체가 지닌 의미만이 아닌 그 이상의 다른 의미로 파생되기도 한다. 후자는 작품의 전체적인 맥락을 통해서만 이해될 수 있다. ex) red rose 사랑의 상징, 아름다운 여성 'the sick rose'(william brake) 악과 더러움에 짓밟힌 여성 또는 순수성의 파괴 등을 상징 Symbol은 추상이 아니다 ..
리포트 > 인문/어학 |
인문, 어학
 컴퓨터 응용 시스템 설계 실험 보고서 - verilog을 이용한 자판기 설계 ( 5Pages )
Vending Machine Design Ⅰ. 서 론 Not gate와 Nand gate 및 D-Flipflop을 사용하여 Vending Machine을 설계해보고 몇몇개의 옵션사항을 추가적으로 설계해본다. Ⅱ. 본 론 1. (LAB 3-1) Coffee Sprite Vending Machine Design 1$의 입력을 받으며 1$짜리 Coffee와 3$의 Sprite를 선택 할 수 있는 Vending Machine을 설계 해 본다. 가. State에 따른 Segment 및 추가 LED 설계 이번 실험에서 사용된 Segmen..
리포트 > 공학/기술 |
 [디지털 회로설계] 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 ( 9Pages )
디지털 회로설계 1. 제목 : 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 2. 개요 : 1) 목적 : 1-bit full adder를 통한 4-bit full adder를 설계하여 adder에 대한 이해도를 높인다. N-bit adder로 확장하는 방식을 익혀 계층구조를 이해하고 VHDL의 PORT MAP 사용법을 익힌다. 2) 방법 : [1-bit adder] (1) 1 bit adder의 truth table을 구하고 카르노맵을 이용하여 minimization한다. (2) 최소..
리포트 > 공학/기술 |
 기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계, 7-세이그먼트 켜기 ( 8Pages )
■ 실 험 - AND, OR, NOT 게이트를 통한 논리회로 설계  - 7-segment 켜기 ■ 목 표 1.AND,OR,NOT 게이트의 기호와 동작특성을 이해한다. 2.실험을 통해 AND,OR,NOT 게이트의 진리표(Truth Table)를 이해한다. 3.논리소자들의 작동법을 익힌다. 4.슬라이드 스위치 2개로 위에서 배운 이론으로 7-segment를 0부터 3 까지 켤 수 있다. ■ 실험장비 및 부품 - 7-segment (cathode type) - 저항(330Ω) : 9..
리포트 > 공학/기술 |
 기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계 ( 8Pages )
AND, OR, NOT 게이트를 통한 논리회로 설계  Ⅰ. 목 표 AND, OR, NOT 게이트의 기호와 동작특성을 이해고, 실험을 통해 AND, OR, NOT 게이트의 진리표(Truth Table)를 이해하며, 논리소자들의 작동법을 익힌다. 그리고 슬라이드 스위치 2개로 배운 이론으로 7-segment를 0 부터 3 까지 켤 수 있다. Ⅱ. 실험장비 및 부품 - 7-segment (cathode type) - 저항(330Ω) : 9개 - IC MC74HC08A(AND 게이트) - IC..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10