전체 (검색결과 약 94개 중 3페이지)

 [FTA세미나]중국 청두(成都) 수출 인큐베이터 ( 12Pages )
중국 청두(成都) 수출 인큐베이터 중국 내수시장 진출전략 프레임워크 ※ Goal ※ Perspective: Location + Portfolio + Ksf ※ Logic: Why + What + How ※ Target ※ 기업의 목표에 따라 공란에 구체적인 전략을 설정하십시오. 청두 수출인큐베이터 수출인큐베이터 기능과 기대효과 ....
리포트 > 경영/경제 |
 투자 계획 및 관리 Process ( 29Pages )
목차 1. 투자 계획 수립 1.1 투자 계획 수립 Process 1.1 투자 가능 재원 산출 Logic 2. 투자 관리 2,1 투자 관리 Process 2.2 신규/확장/경상투자 Process 2.3 최소 투자 수익율 2.4 투자 Segment별 Checklist 2.5 투자 Monitoring 3. 투자타당성 검토 3.1 환경분석 3.2 전략 대안 3.3 실행계획 3.4 경제성 분석 3.5 Risk 분석
비지니스 > 경영관리 |
 논리적 사고를 위하여 ( 32Pages )
I. 논리 논리(論理)라는 말은 <말의 이치>를 의미하는 한자어로 되어 있다. 예컨대 '물리(物理)'는 물질들이 변화하고 작용하는 이치를 다루는 것이요 논리는 말로써 따질 때 따라야 할 이치를 다루는 것이다. 또 '논리'에 해당되는 영어 'logic'도 역시 '말'을 뜻하는 희랍어 'logos'에서 유래한 것으로 알려지고 있다. 어원적으로 보면 논리란 '말'에 관한 것이며 '말의 이치'를 따지는 것이다.
리포트 > 인문/어학 |
 고객관리기법 ( 10Pages )
Service Management I. 서비스 상품 특성 II. Service logic map III. SERVQUAL IV. 서비스 절차 개발 V. 서비스 품질 개발을 위한 프로그램 개발 단계 VI. 5 단계 Leadership VII. 성공의 공식 Service Service Logic Map = Service Blue Print = Service Flow Chart Line of Visibility Customer Zone Front Line Zone Backstage Zone Support Zone Management Zone ♣ 고객이 평가하는 서비스 품질 평가 ..
리포트 > 경영/경제 |
 [전자회로] 쇼트키 TTL게이트에 대해서 ( 6Pages )
Schottky TTL schottky TTL 게이트 나는 schottky TTL 게이트를 소개 하려한다. 그러기 위해서는 TTL(Transistor-Transistor Logic)를 먼저 살펴보아야 한다. 본래 DTL(Diode Transistor Logic)게이트를 약간 개선시킨 것이 기본 TTL게이트이다. TTL 기술이 발전함에 따라 디지털 시스템 설계에서 가장 널리 쓰이고 있다. TTL에는 여러 개의 시리즈들이 있다. TTL IC는 74로 시작하여 그 뒤에 시리즈의 유형..
리포트 > 공학/기술 |
 [디지털 회로설계] 4-Bit D Flip Flop 설계 ( 6Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) 위..
리포트 > 공학/기술 |
 GATE 논리회로 ( 7Pages )
GATE 논리회로 1. 논리회로(Logic Circuit) ― 2진 정보를 기반으로 AND, OR, NOT 등과 같은 논리 연산에 따라 동작을 수행하는 논리소자들을 사용하여 구성된 전자회로. 2. 논리회로의 분류 1) 조합논리회로(Combinational logic circuit) 회로의 출력 값이 입력 값에 의해서만 정해지는 논리회로로서 기억능력이 없다. (반가산기, 전가산기, 디코더, 엔코더, 멀티플렉서, 디멀티플렉서) 2) 순서논리회..
리포트 > 공학/기술 |
 커뮤니케이션에 관한 신념(견해) ( 2Pages )
커뮤니케이션에 관한 신념(견해) 조직은 효율적 운영을 위해서 양호한 커뮤니케이션을 요구하고 있다. 더욱이 관리자가 개인의 관리 방법으로 커뮤니케이션 행위를 하는데 활용되는 것은 총시간의 80% 내지 90%를 차지하고 있는 것으로 추정되고 있다. 이러한 커뮤니케이션 행위에 대한 특수한 관점과 언어상의 환경은 의심스러운 문제를 제기시키고 있다. 이러한 견해는 다음과 같은 관점에서 검토될 수 ..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 영어교과 연구 수업 지도안 ( 12Pages )
Ⅰ. Master Plan 1. Text : High School English for Everyone 2. Unit : Lesson 4. Need Some Advice 3. Grade : First Grade 4. General Aims (1) Language Skills ① Listening: Understand the accurate situation after listening to the expressions about sympathy and advice. ② Speaking: Give some advice about friends several problems. ③ Reading: Express my opinion logically after readi..
리포트 > 교육학 |
 영어교과 연구 수업 지도안 ( 12Pages )
Ⅰ. Master Plan 1. Text : High School English for Everyone 2. Unit : Lesson 4. Need Some Advice 3. Grade : First Grade 4. General Aims (1) Language Skills ① Listening: Understand the accurate situation after listening to the expressions about sympathy and advice. ② Speaking: Give some advice about friends several problems. ③ Reading: Express my opinion logically after readi..
리포트 > 교육학 |
 컴퓨터공학 및 기초설계 - Digital logic 레포트 Boolean algebra, XOR, 카르노맵 ( 4Pages )
0. 배경이론 Bool 대수와 De Morgan의 정리 -Bool대수 부울 대수는 0과1의 2개 요소와 +, . 의 두 연산자만을 사용하는 대수로서 공리를 바탕으로 전개되는 대수이다. 카르노맵 카르노 맵은 부울식을 간소화하기 위한 체계적인 방법으로써 도식적인 방법이라 할 수 있다. 대수적 간소화의 효율성은 불대수의 모든 법칙, 규칙, 정리에 대한 이해와 적용하는 능력에 따라 달라질 수 있다. 그러나 카르노 맵은 ..
리포트 > 공학/기술 |
 [전기전자] BJT IC 및 동작특성 기술 ( 4Pages )
BJT IC 및 동작특성 기술 ◎ RTL (Resistor Transistor Logic) ◆ 기판 위에 저항을 부착시키고 거기에 트랜지스터를 설치해 모듈화시킨 IC ◆ 디지탈 IC로서는 최초 ◆ 현재는 거의 사용치 않음 ▷ 3- Input NOR 동작원리 입력 A,B,C 단자는 출력에 대하여 각각 병렬로 연결되어 있으므로 OR게이트로 동작한다. 트랜지스터의 출력 Y는 저항에 의하여 전압강하가 발생하여 NOT게이트의 기능을 가지므로 결..
리포트 > 자연과학 |
 비단조 논리 연구 - 과학적 설명과 비단조 논리; 정영기 지음, 엘맨, 1996, Page 126~153[책 내용] ( 12Pages )
비단조 논리 연구   과학적 설명과 비단조 논리 : 정영기 지음, 엘맨, 1996, Page 99~125   목 차 1. 비단조논리의 특성 2. 비단조 논리의 유형    (1) 라이터의 초기화 논리 (default logic)    (2) 무어의 자동인식적 추리 (autoepistemic reasoning)    (3) 맥카시의 제한화 추리 (circumscriptive reasoning) 3. 비단조 논리의 사용 사례 4. 비판적 논의   1. 비단조논리의 특성 연역 논리는 추리가 진..
리포트 > 독후감/서평 |
 적합성의_개념 ( 4Pages )
1. CONCEPT OF RELEVANCE (적합성의 개념) 1) 적합성 (1) 정보학에 있어 가장 중요한 개념중의 하나 (2) 각 정보전달 과정의 효과성을 지배하는 요인. (3) 성공적인 검색행위의 기준. (4) Wilson (1973) a. 개인의 관심분야 (concerns) b. 개인의 선택범위 이상의 선호도 c. 개인의 그 당시 지식정도 (stock of knowledge) - 각 개별 항목은 적합성 판단에 있어 중요한 변인을 나타낸다. 2) Sys..
리포트 > 사회과학 |
사회과학
 아이웨딩,아이웨딩마케팅전략,아이웨딩기업분석,결혼정보회사분석 ( 10Pages )
결혼은 美친 짓이다. - 인간 본능을 자극한 서비스 [아이웨딩] 목 차 1. 서론 1-1 아이웨딩 기업 소개 ……… 18 2. 본론 2. 아이웨딩 혁신 전 상황 ……… 19 3. 아이웨딩 혁신 과정 ……… 20 1) 아이웨딩 네트워크의 4대 서비스 특징 극복 2) 아이웨딩 네트워크의 G.D LOGIC -] S.D LOGIC 3. 결론 혁신 후 상황 ……… 23 제안 ……… 25 참고 문헌 ……… 25 1. 서론 1-1 기업소개 (주)아이웨딩 네트워..
리포트 > 경영/경제 |
1 2 3 4 5 6 7