전체 (검색결과 약 6,092개 중 27페이지)

 전기전자 - 부울 대수 개념 ( 6Pages )
♣ 부울 대수 개념 1854년에 발표된 사고의 법칙 에서 AND, OR, NOT이라는 연산자를 사용해 이진 정보를 처리할 수 있는 모델로 논이적인 판단을 수학적으로 해서하기 위해 영국의 수학자 George Boole이 제안한이론 이다. 정보를 참 또는 거짓 이라는 두 개의 논리로 표현 부울대수의 변수는 0또는 1의 값을 갖는다. 부울 함수는 AND, OR, NOT연산자와 (, +로 정의되며 연산 결과는 언제나 1. 또는 0으로 표..
리포트 > 자연과학 |
 플랜트수출계약서예시 ( 12Pages )
<플랜트 수출계약서 예시> SUPPLY AGREEMENT This Supply Agreement (the “Agreement”) is made and entered into this day of by and between ,a corporation organized and existing under the laws of having its registered office at (“Purchaser”) and ,a corporation organized and existing under the laws of the Republic of Korea having its registered office at (“Supplier”) WITNES..
서식 > 계약서 |
 기초회로실험 - Oscilloscope and Function Generator ( 4Pages )
기초회로실험 - Oscilloscope and Function Generator 1.서론 (1) 오실로스코프    오실로스코프란 함수 발생기로부터 받은 시간에 따른 입력전압의 변화를 화면에 출력하는 장치이다. 전기진동이나 펄스처럼 시간적 변화가 빠른 신호를 관측한다. (2) 함수 발생기 함수 발생기란 아날로그 컴퓨터의 비선형 연산기의 하나이다. 절선 근사 연산기, 광전 함수 발생기, 서보 함수 발생기 등이 있으며, ..
리포트 > 공학/기술 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 LivingWillDeclarationof(FullLegalName) ( 1Pages )
Living Will Declaration of (Full Legal Name) Declaration made this ____ day of ___, 20 . I, (Declarant's Full Legal Name), being at least eighteen (18) years of age and of sound and disposing mind, willfully and voluntarily make known my desires that my dying shall not be artificially prolonged under the circumstances set forth below. I further declare: . . 중략 ..
서식 > 법률서식 |
 환경공학 - CCS(Carbon capture and storage)의 현황 및 중요성 ( 22Pages )
CCS(Carbon capture and storage)의 현황 및 중요성 발표내용 지구온난화의 영향 및 대책 CCS (Carbon Capture and Storage) CO2 storage 결론 지구 온난화란 (地球溫暖化, global warming) - 1972년 로마클럽 보고서에서 처음 공식적으로 지적된 현상인 지구온난화는 지구 표면의 평균온도가 상승하는 현상이다. - 땅이나 물에 있는 생태계가 변화하거나 해수면이 올라가서 해안선이 달라지는 등 기..
리포트 > 공학/기술 |
 영문 차관계약서(LOAN AGREEMENT) ( 39Pages )
LOAN AGREEMENT(2)(영문-차관계약서) THIS AGREEMENT date the ___ day of ___ nineteen hundred and ninety-five BETWEEN (1) ___a company duly incorporated and validly existing with good standing under the laws of ___ and having its (registered) (principal) office at ___ (the Borrower) (2) ___ (the Bank) WHEREAS : ___ NOW IT IS HEREBY AGREED as follows : Ar..
서식 > 계약서 |
 프렌차이즈 계약서 (영문) ( 10Pages )
프렌차이즈 계약서 (영문) 작성 서식입니다. Franchise Agreement 프랜차이즈 계약은 Distributorship계약과 비슷한 측면이 있긴 하나 본질적으로 다르다. 이들의 차이점을 들어 본다면 다음과 같다. 프랜차이즈 계약은 기본적으로,① 상표, 서비스마크 등으로 대표되는 비지니스체계를 기초로 함. ② Franchisee는 franchiser의 brand 및 goodwill을 이용하여 당해 사업의 운영을 영위하되, brand등..
서식 > 계약서 |
프렌차이즈, 계약서, 영문
 유기화학실험 - 굳기름과 기름(Fats and oils); 비누 ( 6Pages )
유기화학실험 - 굳기름과 기름(Fats and oils); 비누 ※최종목표 및 세부목표 에스테르화반응의 역반응인 동물성과 식물성 기름을 이용한 비누화 반응을 이해한다. -비누화 반응을 이용한 합성 -비누화 반응에 대한 이해 -아세트산 확인 ※이론적 배경 비누화 반응: 예전에는 유지나 밀랍으로부터 비누를 만들어내는 반응을 비누화반응이라고 하였으나 현재는 에스테르가 가수분해를 일으켜 카르복시산과 ..
리포트 > 자연과학 |
 인터넷마케팅계획 ( 15Pages )
1 Tips for Establishing Internet Marketing Plan 2 Contents Objectives Target areas in Internet Tactics : Content Resources Timelines Building brand awareness & loyalty Direct response promotions Education of the marketplace Product demonstration & distribution Public relations Research & product development Service & support 3 Objectives 인터넷 상에서 무엇을 하..
비지니스 > 컨설팅/마케팅 |
 SWOT_script(edit) ( 8Pages )
글로벌마케팅 발표 로만손 SWOT analysis English script Intro 1. Ice breaker: Question - What is your strength and weakness 2. ] Do you like watch Romanson is Korean cooperation which makes varieties of watch products and have been build successful achievements on global market. 3. For the next ten minutes, I want to show the analysis of Romanson company s strategy in perspect..
리포트 > 경영/경제 |
 타이페이 시립 동물원의 빗물 이용 사례 ( 14Pages )
11 Rainwater Catchment Systems Promotion in Taipei City Zoo Meng-Tai Fu Project Manager of Water Conservation Corps Energy & Resources Laboratories/Industrial Technology Research Institute Abstract The Taipei City Zoo is located in the Wenshan Districtof Taipei Taiwan. It occupies an area of 165 hectares, and is home to nearly 3,000 animals. The broad land are..
리포트 > 자연과학 |
분석
 서비스사이언스,서비스사이언스분석방법론,서비스사이언스동향,미래의서비스사이언스 ( 54Pages )
서비스 사이언스 (SSME) Service Science, Management and Engineering Service Science, Management and Engineering Contents Service Science, Management and Engineering Ⅰ. 서비스사이언스 소개 서비스 사이언스의 출현배경, 출현 과정 Service Science, Management and Engineering 1) 산업구조의 변화 세계경제의 농림수산업 → 제조업 → 서비스산업 으로의 급속한 산업구조 변화 Ⅰ-1. 서비스 사이..
리포트 > 경영/경제 |
 무역_프랜차이즈계약서 ( 10Pages )
프랜차이즈 계약은 Distributorship계약과 비슷한 측면이 있긴 하나 본질적으로 다릅니다. 이들의 차이점을 들어 항목별로 쓰는 방법을 적은 문서입니다. . Franchise Agreement 프랜차이즈 계약은 Distributorship계약과 비슷한 측면이 있긴 하나 본질적으로 다르다. 이들의 차이점을 들어 본다면 다음과 같다. 프랜차이즈 계약은 기본적으로,① 상표, 서비스마크 등으로 대표되는 비즈니스체계를 기초로..
서식 > 계약서 |
상표, 서비스, Definitions , Merchandise
 What Motivates Employees? - Kovach, K. 1987 ( 11Pages )
조직행동론 논문인 Kovach, K. 1987. What motivates employees? Workers and supervisors give different answers. Business Horizons 의 번역본 입니다.
논문 > 사회과학분야 |
21 22 23 24 25 26 27 28 29 30