전체 (검색결과 약 13,624개 중 20페이지)

 A+ CJ프레시웨이 식품 생산관리 경력 자기소개서 ( 5Pages )
실행 중심의 생산관리 경험과 품질 개선성과] 소스 제조공정 개선을 통한 생산성 및 품질 향상] 저는 식품 생산관리에서 공정의 최적화와 품질 개선을 위한 문제 해결 능력이 가장 큰 강점입니다. 이런 경험을 통해 효율적인 생산관리와 품질 향상을 동시에 이뤄내는 방법을 배웠습니다. 총 5년여간의 경력을 통해, 생산현장에서 요구되는 문제 해결력과 소통 능력, 유연한 일정 관리 역량을 체득했으며, 현..
서식 > 자기소개서 |
공정, 생산, 품질, 관리, 개선, 작업, 성과, 대응, 문제, 소스, 향상, 발생, 위해, 현장, 동시, 고객, , 통해, 경험, 해결
 C+ 포커게임프로그램 소스파일 ( 5Pages )
/* 파일명 : main.cpp 프로그램 : poker 프로젝트의 main함수 파일 내용 : 포커게임 시뮬레이션(가상실험) 프로젝트 작성자 : 손민균 200658117 작성일 : 2010. 11. 18. */ #include poker.h int main(void) { int i, j; card deck[52]; //52장의 카드로 이루어진 카드 한 덱 card hand[NPLAYER][5]; //플레이어가 가지는 카드들 srand((unsigned)time(NULL)); fill_deck(deck); shuffle(deck); ..
리포트 > 공학/기술 |
 IOCP 서버, 클라이언트 ( 17Pages )
IOCP를 이용한 서버와 클라이언트 프로그램 소스입니다. IOCP서버, 클라이언트의 개요와 원리, 동작방식 및 프로그램 소스 설명이 포함되어 있습니다. 예제는 서버와 클라이언트 간 데이터 송수신 프로그램이며, 서버에서 클라이언트로 한꺼번에 여러번의 데이터를 송수신 하는 부분이 포함되어 있어 실제 상용으로 사용시에도 무리가 없습니다. 그리고 다른 IOCP서버의 구성방식에 대한 분석도 추가적으..
정보/기술 > 프로그램소스 |
 [zip] Z80 CPU 강좌 강의노트 ( 28Pages )
임베디드 시스템 제어에 사용되는 8비트 CPU 인 Z80에 관한 교육자료 아주대학교 동아리 더듬이에서 제가 교육했던 자료입니다 Z80 CPU 에대한 개괄적인 설명과 주변칩의 설명 그리고 모니터프로그램 소스와 설명이 포함되어있습니다..
정보/기술 > 강의/교재 |
 게임기(슬롯머신형 릴 게임기)제작 소스 ( 6Pages )
영상물등급위원회로 부터 18세이용가로 등급분류를 필한 슬롯머신형 릴 게임기의 제작에 필요한 모든 기술요소를 설명하는 안내서 입니다.프로그램을 공부하시는 분,실제게임기를 제작판매하실분,자가제작을 통한 오락실 운영희망자에게 권합니다. 1.저작자: 사업아이템연구원 성보현 2.재가공자 : 없음 3.자료출처 : 사업아이템연구원 4.제작시기 : 2004.4. 5.사용언어 : 국문 6.포멧 : 안내서 -hwp ..
정보/기술 > 메뉴얼 |
 게임 프로그램 공급 계약서 ( 1Pages )
게임 프로그램 공급 계약서 제1조(계약) 갑과 을은 프로그램 공급에 있어 계약을 상호 충실하게 이행함을 목적으로 한다. 제2조(공급프로그램) ___ 제3조(대가금액) ①계약금은 총액의 50%를 계약일 지급하며, 잔금은 납품(검수)후 3일 이내 지급한다. ②게임단가:___만원(부가세 별도) 제4조(기밀유지) 갑과 을은 업무중에 득한 상대방에 관한 정보를 제3자에게 제공하거나 누설하지 아니한다. 제5조(사..
서식 > 계약서 |
 웹사이트,디자인 PSD,FLA원본 [2143호] ( 61Pages )
웹디자인에 있어 flash의 상스럽지 않은 효과는 아주 중요한 부분이지요? 게다가 PSD의 고급스런 레이아웃 디자인과 원본 소스들은 디자이너에게 커다란 힘과 시간절약이 아닐 수 없습니다. 에~또한 typo역시 잘 쓰지 않으면 촌스런 홈피가 되기에 필수 문제요소지요. 이 템플릿 [발간호]를 이용하시면 각종 문제를 손쉽게 디자인하고 해결해 나가실 수 있습니다.다양한 버튼셋과 FOnt셋 ,FLA ,PSD원본 ..
서식 > 디자인/이미지 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 일제의 식민지 통치기구 - 식민통치기구의 성립, 일제시대 통치기구 및 기능, 통치기구의 변천 ( 9Pages )
일제의 식민지 통치기구 - 식민통치기구의 성립, 일제시대 통치기구 및 기능, 통치기구의 변천 목차 일제의 식민지 통치기구 I. 식민통치기구의 성립 II. 통치기구 및 기능 1. 중앙기구의 조직 2. 지방통치조직 3. 특수기구의 설치 III. 통치기구의 변천 일제의 식민지 통치기구 1. 식민통치기구의 성립 한국민족에 대한 일제의 식민지 통치제도는 식민지 본연의 경제상 목적보다는 대륙침략을..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 문제점
 [국제기구] 국제기구의 의의(개념)와 역할(기능) ( 10Pages )
[국제기구] 국제기구의 의의(개념)와 역할(기능) 목차 * 국제기구 Ⅰ. 국제기구의 이해 1. 국제기구의 의의 1) 국제기구의 개념 2. 국제기구의 등장 3. 국제기구의 분류 1) 회원자격을 기준으로 한 분류 (1) 정부간 기구(IGO) (2) 비정부간 기구(NGO) (3) 혼합적 성격의 기구 2) UN을 기준으로 한 분류 (1) UN기구와 그 직속 및 관련기구 (2) 비 UN기구 3) 정치적 목적과 활동을 기준으로 한 분류 (1)..
리포트 > 사회과학 |
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
 [정부기획기구] 기획기구의 의의, 정부기획기구의 기능과 형태, 우리나라(한국)의 기획기구 ( 6Pages )
[정부기획기구] 기획기구의 의의, 정부기획기구의 기능과 형태, 우리나라(한국)의 기획기구 목차 정부기획기구 Ⅰ. 의의 Ⅱ. 정부기획기능의 배분 Ⅲ. 정부기획기구의 기능 Ⅳ. 정부기획기구의 형태 및 한국의 기획기구 1. 정부기획기구의 형태 1) 행정수반직속형 2) 내각수반직속형 3) 독립형 4) 기획전담부처형 5) 병설형 2. 한국의 기획기구 정부기획기구 1. 의의 기획기구란 기획제도의 운영을 ..
리포트 > 경영/경제 |
개념정의, 특징특성, 종류유형, 문제점해결방안
 [대한제국의 정부기구] 대한제국의 성립, 대한제국 관제의 성립과정, 대한제국 정부기구 ( 10Pages )
[대한제국의 정부기구] 대한제국의 성립, 대한제국 관제의 성립과정, 대한제국 정부기구 목차 대한제국의 정부기구 I. 대한제국의 성립 1. 아관파천 2. 대한제국 II. 대한제국 관제의 성립과정 III. 대한제국의 정부기구 1. 중앙행정기구 2. 사법기구 3. 지방행정조직 4. 재무행정기구 5. 통신, 교통관제 6. 기타 기구 대한제국의 정부기구 1. 대한제국의 성립
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 문제점
 [갑오개혁과 정부기구] 갑오개혁 정부기구의 개편과정, 갑오개혁 중앙정부의 기구 및 기능, 갑오개혁 지방행정조직 ( 13Pages )
[갑오개혁과 정부기구] 갑오개혁 정부기구의 개편과정, 갑오개혁 중앙정부의 기구 및 기능, 갑오개혁 지방행정조직 목차 갑오개혁과 정부기구 I. 갑오개혁의 배경 II. 정부기구의 개편과정 1. 군국기무처의 운영과 제1차 개혁 2. 제2차 개혁 III. 중앙정부의 기구 및 기능 IV. 지방행정조직 갑오개혁과 정부기구 1. 갑오개혁의 배경
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 문제점
 [대한제국의 정부기구] 대한제국의 성립, 대한제국 관제의 성립과정, 대한제국 정부기구 ( 10Pages )
[대한제국의 정부기구] 대한제국의 성립, 대한제국 관제의 성립과정, 대한제국 정부기구에 대한 레포트 자료 [대한제국의 정부기구] 대한제국의 성립, 대한제국 관제의 성립과정, 대한제국 정부기구 목차 대한제국의 정부기구 I. 대한제국의 성립 1. 아관파천 2. 대한제국 II. 대한제국 관제의 성립과정 III. 대한제국의 정부기구 1. 중앙행정기구 2. 사법기구 3. 지방행정조직 4. 재무행정기..
리포트 > 사회과학 |
개념, 정의, 문제점, 해결방안, 설명, 분석
 국제노동조합기구 ( 8Pages )
국제노동조합기구입니다 국제노동조합기구 8 목차 *국제적 노동조합기구의 결성 1.국제노동조합연맹 (IFTU) 2.세계노동조합연합 (WFTU) 3.국제자유노동조합연맹 (ICFU) 4.국제기독교노동조합연맹 (IFCTU) *국제노동기구 (ILO)의 설립 1.국제노동기구헌장 2.국제노동기구의 조직 3.국제노동기구의 정책결정
리포트 > 경영/경제 |
레포트, 국제노동조합기구, 노동조합기구, 노동조합
11 12 13 14 15 16 17 18 19 20