올린글을 확인할 수 있도록 포스팅을 공개로 설정해 주세요.
디지털시스템설계 - 신호등 설계

Design Object

Design a practical Traffic Light Controller using Traffic Lights Module
on HBE-COMBO II Kit

Diagram

The state of Kit according to Light_direction

Traffic signal cycle

emergency state

VFD, DOT, LED, 7SEG

Modified Code
[top_traffic.vhd]

entity top_traffic is
port (
iMclk : in std_logic;
iMrst : in std_logic;
iswitch : in std_logic;
iswitch2 : in std_logic;

istate : in std_logic;
idirection : in std_logic_vector(3 downto 0);

light_direction : in integer range 0 to 4;

• std_logic is set to change switch to next direction and change iswitch2 to
switch changing the direction to opposite side.
• std_logic is also set to change istate to emergency situation.
• std_logic_vector is set to change idirection to variable that accept the
assigned direction.
• light_direction is modified to 4 for adding emergency situation.

....

[hwp/pdf]디지털시스템설계 - 신호등 설계
포스팅 주소 입력
  올린글을 확인할 수 있는 포스팅 주소를 입력해 주세요.
  네이버,다음,티스토리,스팀잇,페이스북,레딧,기타 등 각각 4개(20,000p) 까지 등록 가능하며 총 80,000p(8,000원)까지 적립이 가능합니다.