전체 (검색결과 약 337개 중 9페이지)

 자동제어 - DC모터와 시뮬링크를 이용한 해석 ( 8Pages )
자 동 제 어 Matlab Simulation and Result as follows for DC-Moter System -State equation -Transfer function -Output response 1. DC모터 DC 모터는 속도제어가 쉽고, 속도제어의 범위가 넓다는 장점으로 인하여 가변속 구동 또는 가변 토크 제어에 가장 많이 사용되고 있다. 그러나 구조상 요구되는 정류자(commutator)와 브러시(brush)로 인해 고속 운용에 부적합하고, 정기적인 유지보수가 필요하..
리포트 > 공학/기술 |
 일반물리학 실험 - 옴(Ohm)의 법칙 결과 보고서 ( 5Pages )
1. 실험 제목 [ Ohm의 법칙 ] 본 실험을 통해 ∎ 전기회로에서 전압과 전류, 저항의 관계를 이해한다. ∎ 실험장비의 사용법과 측정법을 익힌다. 2. 관련이론 1. 전기저항(저항) : 전하의 흐름을 방해하는 소자나 그러한 소자의 전기적 특성을 나타내는 용어로서 통상적으로 기호 R로 표기한다. 저항의 크기를 나타내는 단위로는 옴(ohm, 기호[])을 사용한다. 1[]은 도체의 양단에 1[V]의 전압을 가..
리포트 > 자연과학 |
 달링턴 ( 16Pages )
달링턴(Darlington) 쌍 목차 실험 제목, 동기 및 목적 달링턴쌍 이론 실험준비물 및 실험절차 실험결과 및 Simulation 결과 달링턴(Darlington)쌍을 이용한 달링턴 증폭회로 실험 실험 동기 실험 목적 달링턴쌍이란 두 개의 트랜지스터를 접속하여 하나의 트랜지스터로 동작 시키는 결합 달링턴 결합 실험준비물 및 실험절차 실험회로 회로 구성 실험준비물 및 실험절차 트랜지스터 Q2N3904 (x2) 저항 1..
리포트 > 공학/기술 |
 실험보고서 - 분압기[Voltage Divider] 설계 ( 4Pages )
분압기(Voltage Divider) 설계 1. 실험요약 분압기는 실험실이나, 가전제품 등에서 입력전압보다 낮은 전압이 필요할 때 많이 쓰이는 장치이다. 실험을 통해 고정된 전류전압원으로부터 그보다 낮은 전압을 얻는 분압기(votage divider)의 개념을 이해하며 무부하, 부하시의 분압기를 제작해봄으로써 또 분압기에 부하가 연결될 경우, 부하가 미치는 영향을 파악할 수 있어야 한다. 또한, 이를 바탕으로 ..
리포트 > 자연과학 |
 MOSFET Boost Chopper ( 11Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 MOSFET Boost Chopper 에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 부스트-쵸퍼(Boost-Chopper) 3. 실험 요약 4. 실험 순서 가. MOSFET 부스트-쵸퍼의 동작 나. 스위칭 제어신호 주파수의 영향관측 다. 입력 대 출력 5. 검토 및 결론 ..
리포트 > 공학/기술 |
MOSFET Boost Chopper, 부스트-쵸퍼, MOSFET 부스트-쵸퍼의 동작, 입력 대 출력
 일반 물리학 실험 - 오실로스코프 ( 7Pages )
일반 물리학 실험 - 오실로스코프 목차 내용 페이지 Ⅰ. 오실로스코프 2 1. 오실로스코프란 1) 오실로스코프의 기능 (1) 오실로스코프를 사용하여 측정할 수 있는 신호정보 2) 예시 (1) 전기적 신호의 측정 (2) 전기적 신호 외의 측정 Ⅱ. 아날로그 오실로스코프와 디지털 오실로스코프 3 1. 아날로그 오실로스코프 1) 동작원리 2) 구조 3) 종류 4 2. 디지털 오실로스코프 1) 동작원..
리포트 > 자연과학 |
 실험2 전기회로에서 저항의 연결 ( 13Pages )
실험2 전기회로에서 저항의 연결 1. 실험목적 1) 저항의 직렬 및 병렬연결 시 합성 저항 값에 대하여 이해한다. 2) 저항의 직렬연결 시 전압과 저항의 관계를 알아본다. 3) 저항의 병렬연결 시 전류와 저항의 관계를 알아본다. 4) 옴의 법칙이 성립하는가를 실험을 통하여 확인한다. 5) KCL과 KVL 법칙이 성립하는가를 실험을 통하여 확인한다. 6) 전압분배법칙과 전류분배법칙이 성립하는가를 실험을 통하..
리포트 > 공학/기술 |
 전기전자 - RC 시상수실험 보고서 ( 5Pages )
□ 목적 직류전원에 의해 축전기에 전하가 충전되는 양상을 관찰하고, R-C 회로의 전기적 특성을 대표하는 시상수를 측정하여 축전기의 특성을 살펴본다., □ 이론 시상수 전기 회로에 갑자기 전압을 가하면 전류는 점점 증가하여 정상치라고 하는 일정한 값에 이르게 되는데, 이 정상치의 (1-e-1)배, 즉 63.2%에 이를 때까지의 시간. RC 회로와 RL 회로의 과도 응답에서 과도항은 e-t/t의 비율로 감쇠한다...
리포트 > 자연과학 |
 데이터통신 설계 - Line Coding에 관해 ( 4Pages )
Line Coding 1. Line Coding이란 -베이스밴드, 무 변조 상태에서 가입자선로, 동선로, 광선로 등으로 전송하기위해 취해지는 부호화(Coding) 형식이다. [용어 설명] ※ 베이스 밴드(기저 대역) - 변조되기 이전 또는 변조되지 않는 원래 정보 신호들이 있는 저주파 영역 ※ 무 변조 상태 - 신호 정보를 적절한 파형 형태로 변환하지 않은 상태. ※ 가입자 선로 - 교환기와 가입자 내 전화기 사이의 동선으로 ..
리포트 > 공학/기술 |
 (실험보고서) 전기분해와 도금, 일반화학 실험보고서 ( 3Pages )
(실험보고서) 전기분해와 도금, 일반화학 실험보고서 입니다. 실험목적, 이론, 방법, 결과, 고찰 등을 자세하게 작성하여 이해를 도왔습니다. 실험보고서 작성시 많은 도움이 될 것입니다. - 실험목적 - 실험기구 및 시약 - 실험이론 - 실험방법 - 실험고찰 실험목적 - 전기 에너지를 이용해서 일어나는 화학 반응에 대하여 알아본다. 실험기구 및 시약 -0.100 M 황산구리 용액, 질산수용액 (질산 + 증류수..
리포트 > 자연과학 |
일반화학 실험보고서, 화학실험보고서, 전기분해, 전기분해와 도금, 전기분해와 도금실험
 Multi-meter , 오실로스코프 , 함수발생기 그리고 전압발생기를 이용하여 각 전기량을 측정 ( 2Pages )
▲ 실험목적 Multi-meter , 오실로스코프 , 함수발생기 그리고 전압발생기를 이용하여 각 전기량을 측정한다. ▲ 실험방법 • multi-meter 1. 전원 ON 2. 단자 연결 → 전압 저항 측정 : V , k[Red] + com[Black] → 저항 측정 : mA[Red] + com[Black] 3. 예상 측정 잔업 범위(Range)를 선정한다. 4. 측정하고자 하는 단자에 커넥터를 연결한다. •직류전압발생기 1. 전원 ON 2. 커넥터를 연결한다. ..
리포트 > 공학/기술 |
 일반물리학 - 오실로스코프 기본 및 응용측정 ( 29Pages )
오실로스코프를 이용하여 기본적인 직류와 교류의 전압강하를 측정하여 기본 사용법을 익힌다. 오실로스코프 기본 측정 1. 실험 목적 디지털 오실로스코프 함수 발생기 BreadBoard 프로브 전 선 저 항 1.5V 건전지 BNC 케이블 2. 실험 기구 1) 오실로스코프란 시간에 따른 전압신호의 변화를 화면상에 표시해 주는 장치. 변화하는 전압 가운데서도 주기적인 파형만을 측정. 화면 수평축 시간 수직축 ..
리포트 > 공학/기술 |
 멀티미터 전원공급기 ( 6Pages )
직류 전원 공급기 1. 실험목적 ①전원 공급기의 사용법과 기능을 익힌다. 2. 직류 전원 공급기(HC-233AD 모델) (1)패널(panel)및 기능 ① 전원 스위치 : ON으로 전원 공금 ② CURRENT ADJUST : 정전류시 출력 전류 조정 노브 ③ VOLTAGE ADJUST : 정전압시 출력 조정 노브 ④ (-) TERMINAL : 부( - )극 출력 단자 ⑤ (+) TERMINAL : 정( +)극 출력단자 ⑥ GND TERMINAL : 접지 단자로 어스에 접지하여 사..
리포트 > 자연과학 |
 기초회로실험 - RL회로의 과도응답 및 정상상태 응답 ( 11Pages )
RL회로의 과도응답 및 정상상태 응답 1. 실험의 목적 - RC 회로와 RL 회로의 공통점과 차이점을 비교 분석한다 - RL회로의 과도응답과 정상상태응답을 확인한다. 2. 실험 준비물 ▶ 오실로스코르 1대 ▶ 함수 발생기(Function Generator) 1대 ▶ 저항 1㏀ 1개 ▶ 인덕터 10[mH] 3. 기초 이론 3. 기초 이론 (1) RL 회로 1) 스위치를 켤 때 전압법칙: 초기조건: 해: 2) 스위치를 끌 때 전압법..
리포트 > 자연과학 |
 전기공학 기초 실험 - 회로와 기본법칙 ( 5Pages )
[ 회로와 기본법칙 ] *목적: 1. 직렬회로에서 전압 및 전류의 관계를 이해한다. 2. Ohm 및 Kirchhoff법칙을 이해하고 회로의 적용하는 방법을 이해한다. 3. 회로의 직류 전압 및 전류 측정법을 이해한다. 4. 확장 보드 및 직류전원장치 사용법을 이해한다. *시료 및 사용기기 VOM, 저항(470, 1.2k, 1.5k, 1.8k, 2.2k, 2.7k, 3.3), 가변 전원장치(VPS), 건전지 1.5V×3, 브레드보드 *실험순서 및 결과 ..
리포트 > 자연과학 |
1 2 3 4 5 6 7 8 9 10