전체 (검색결과 약 10,927개 중 2페이지)

 원자력분야 전력기술로드맵 ( 44Pages )
원전기술 고도화 워크샵 원자력분야 전력기술로드맵 1 목차 Ⅰ. 전력기술로드맵 개요 Ⅱ. 원자력분야 전력기술 로드맵 Ⅲ. 결언 2 Ⅰ. 전력기술로드맵 개요 3 목적 Ⅰ. 개요 국가 차원의 전력기술 분야별 기술 발전 가이드라인 제공 한정된 연구자원의 합리적 사용 방안 수립 활용 2005년 부터 전력산업연구개발사업 추진에 활용 4 전력 산업 환경 변화 전력산업 구조개편 및 민영화 추진 시장가격에 ..
비지니스 > 사업계획서 |
 우리나라 전력 공급,SMP와 가격 상한제,민자 발전,한국전력공사 ( 12Pages )
황금알 민자 발전 -SMP와 가격 상한제를 중심으로 우리나라 전력 공급 개념도 전력생산 전력거래소 공급 한전 자회사 민자 발전 전기가격 결정 메커니즘 *계통한계가격(SMP)* 한전 서론 SMP 공정보수의 원칙 SMP 가격 상한제 이해당사자 1) 한전 2) 민자 발전사 전기 가격 결정 메커니즘 전력 가격 결정의 기준 : 계통한계가격(SMP) 당일 판매된 전력 중 가장 비싼 가격으로 전기 가격 일괄 적용 ..
리포트 > 경영/경제 |
 심리학의 연구 분야 ( 5Pages )
심리학의 연구 분야 인간을 연구하는 과학인 심리학은 최초에는 인간 자신에 관한 심리 현상과 행동을 기초로 하여 형성되었다. 이러한 인간의 심리 현상 자체를 연구하는 심리학이 그 연구 결과가 인간의 실제 생활에 응용됨에 따라, 연구 대상과 응용의 분야와 심리학자들의 활동 영역이 매우 다양하다. 심리학의 분야는 심리학적 지식의 발견과 기본 원리를 연구하는 기초 심리학과 기초 심리학에서 연..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 동물행동학이론 - 다윈 진화론, 로렌츠의 각인이론, 보울비 에인스워스의 애착이론등 분석 ( 18Pages )
동물행동학이론 목차 Ⅰ. 다윈의 진화론 1. 찰스다윈의 생애 및 업적 2. 진화론 3. 진화론이 동물행동학에 주는 시사점 Ⅱ. 로렌츠의 각인이론 1. 로렌츠의 생애 2. 각인이론 3. 공헌점 및 비판점 Ⅲ. 보울비・ 에인스워스의 애착이론 1. 보울비의 생애 2. 애착이론 3. 공헌점 Ⅳ. 윌슨의 사회생물학이론 1. 사회생물학이론의 정의 2. 윌슨의 생애 3. 사회생물학이론 4. 사회생물학이론의 평가 ..
리포트 > 사회과학 |
 인간의 성격형성에 환경이 더 중요한가, 유전이 더 중요한지에 대하여 토론하시오 ( 5Pages )
인간의 성격형성에 환경이 더 중요한가, 유전이 더 중요한지에 대하여 토론하시오에 대한 레포트 자료. 인간의 성격형성에 환경이 더 중요한가, 유전이 더 중요한지에 대하여 토론하시오 성격 형성은 성격 발달을 의미하는 것으로 인간 발달에 따라 형성되어지기 마련이다. 인간의 모든 행동이 그렇듯이 성격 형성도 유전과 환경의 상호 작용으로 발달된다고 생각된다. 따라서 본 자료에서는 성격 발달의 ..
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 인간 행동과 의식 - 자율신경계통의 흥분 정도 측정 실험 ( 4Pages )
1. 실습대상 : 000 (본인, 00세, 男) 2. 피험자의 최근 화가 났거나 두려웠던 상황을 서술 : 피험자 실험 전 간단히 서술 새로 얻은 자취방에서 첫 가위를 눌렸을 때 구체적으로 서술한 것 대학교 4학년에 진입하면서 학교 근처에 새로 자취방을 얻게 되었다. 혼자 살기에는 방도 넓고 큰 창문 때문에 잠잘 때가 되면 무서움을 느끼곤 했다. 그러던 어느 날 늦게까지 과제를 하고 새벽 3시 쯤 자려고 누..
리포트 > 의/약학 |
 기초전자공학 실험 - 최대전력전달 ( 3Pages )
1.실험제목 - 최대전력전달 2.실험목표 - 직류전원으로부터 부하에 최대전력을 전달하는 조건을 실험전 이론적으로 예상한다. - 최대 전력 전달 조건을 실험을 통해 확인한다. 3.실험재료 - 디지털 멀티미터, 전원공급기, 저항 1㏀, 3.3㏀,10㏀, 가변저항 10㏀ 4.실험과정 및 결과 1) 그림 1과 같이 회로를 구성하라. 이 때 1k, 3.3k이다. 2) 사용하고자 하는 저항을 측정하여 그 값을 표 1에 기록하라...
리포트 > 공학/기술 |
 전력공학 - 조류계산 ( 10Pages )
1. 서론 전력 계통은 흔히 다수의 발전기, 변압기, 능동 및 수동 부하와 여러 가지 부속 설비들로 구성되어 있으며, 이들은 송전선로에 의해 수백 개 심지어는 수천 개의 모선들과 서로 연결되어 있다. 전력 계통의 목적은 전체 계통에 연결되어 있는 수용가에 유효전력과 무효전력을 공급하는 것으로, 전력의 공급은 정해진 전압의 크기와 주파수 범위 내에서 중단 없이 이루어짐으로써 신뢰성 있고, 경제..
리포트 > 공학/기술 |
 기초전자 BJT증폭기, 전력증폭기, 연산증폭기, 귀한연산증폭기, 기본연산 증폭기회로 개념 및 특징 조사분석 ( 15Pages )
Report ( 기초전자 BJT증폭기, 전력증폭기, 연산증폭기, 귀한연산증폭기, 기본연산 증폭기회로 개념 및 특징 조사분석 ) 목 차 1. BJT증폭기. 2. 전력 증폭기 3. 연산 증폭기의 특성 4. 귀환 연산 증폭기 5. 기본 연산 증폭기 회로 1. BJT증폭기. 1)공통 이미터 증폭기(Common Emitter Amplifier) AMP = 10m FREQ = 1.0k 위에 그림은 Common Emitter Amplifier라고 부르는며, 높은 전압 전류 이득..
리포트 > 공학/기술 |
 검측 체크리스트(가설공사.사용건설장비 및 기타시설) ( 1Pages )
검측 체크리스트(가설공사.사용건설장비 및 기타시설) 작성 서식입니다. 검측체크리스트 공종 Code No. 세부공종 사용건설장비 및 기타시설 위치 검사항목 검사기준(시방) 검사결과 조치사항 YES NO 1. TOWER CRANE 기초는 설계대로 시공되었는가 2. 현장용 임시동력(가설전력) 1)가설전력 용량의 적정성 2)전주위치의 적정성 3)주분전반 자동회로 차단기 설치여부 4)사용전선의 규격확..
서식 > 건설서식 |
검측, 체크리스트, 가설 공사, 건설, 장비
 플랜트 첨삭자소서 ( 8Pages )
한국전력공사의 원자력 사업을 성공적으로 수행해내기 위해서는 탄탄한 원자력 기초지식과 관련 활동에 대한 경험이 뒷받침되어야 할 것입니다. 이외에도 공모전이나 여러 프로그램들에 참가하여 되도록 많은 경험들을 쌓 으려고 노력하였고, 이런 경험들을 계기로 저는 설계에 대한 관심을 조금씩 키워나갔습니다. 현재 한전은 매년 수조원의 적자를 기록하고 있으며, 그 원인 중 하나는 전력을 생산하는 ..
서식 > 자기소개서 |
대한, 경험, , 고객, 이다, 되어다, 설계, 통해, 원자력, 위해, 이다, 생각, , 대본, 지식, , 문제, 한국전력, 할아버지, 프로그램
 [a++] 기초회로실험의 모든 레포트 자료 ( 306Pages )
목 차 실험 1 직류-전력 공급기와 멀티미터 작동법 (전압, 전류, 그리고 저항 측정법) 1 실험 2 키르히호프의 전류 법칙과 전압 법칙 그리고 옴의 법칙 10 실험 3 저항기들의 직렬, 병렬, 그리고 직-병렬 접속 15 실험 4 전압-분배 및 전류-분배의 공식 20 실험 5 브리지 회로 24 실험 6 중첩의 원리 27 실험 7 테브난 등가 회로 32 실험 8 노튼 등가 회로 37 실험 9 망로 방정식과 마디 방정식 41 실험 1..
리포트 > 공학/기술 |
 이란어과 중동 관련 학과 자기소개서 학업계획서 지원동기 및 노력 ( 2Pages )
현재 아랍어(이란어)에 대한 학습열망도 큰 것이 사실이지만, 영어공부가 기본적으로 뒷 받침이 되어야 한다는 일전에 읽은 외국어 관련 교육 방법 교재의 조언에 따라 영어공부에 도 전력을 다하고 있습니다. 영어공부는 타 외국어 공부의 기초가 된다고 생각합니다. 편향된 과목 공부는 위험하지만 영어공부만큼은 제가 좋아하는 과목이기에 매일 영어 기사를 접하며 영어독해 능력 향상을 위해 노력하고 ..
서식 > 자기소개서 |
중동, 공부, 영어, 지역, 과목, 되어다, 이란어, 아랍어, 대한, 외국어, 가지, 우리나라, 어떻다, 노력, 현재, 열망, 싶다, 흥미, 문화, 통해
 미디어렙 법 개념, 특징, 기대효과, 발전방향, 배경, 사례, 행정, 체계, 역할, 운영현황, 극복, 업무, 계획, 현황, 시사점, 문제점, 나아갈 방향 총체적 조사분석 ( 8Pages )
Report ( 기초전자 BJT증폭기, 전력증폭기, 연산증폭기, 귀한연산증폭기, 기본연산 증폭기회로 개념 및 특징 조사분석 ) 목 차 - 들어가며 - 1. 미디어렙법이란 2. 미디어렙법의 역사 및 내용 3. 기대효과 4. 미디어렙법 긍정론 5. 미디어렙법 부정론 6. 시사점 및 나의 견해 들어가며 사회에 길이 있어 인간이 왕래를 하고 인간과 사회가 건강해진다. 사회와 인간 간 네트워크(관계, 인..
리포트 > 법학 |
 초전도 에너지 입문 - 초전도체에 관해서 ( 6Pages )
목 차 1. 서론 --- 2P 2. 초전도체의 발견 ---2~3P 3. 초전도체의 성질 ---3~4P 1) 3가지 임계값 2) 마이너스 효과 3) 자장보존의 법칙 4. 초전도체의 원리 ---4~5P 1) 매트리스 효과 2) BCS이론 5. 초전도체의 종류 ---5P 6. 초전도체의 미래 ---5~6P 1)수송분야 2)의료분야 3)전력분야 4)기초과학 분야 7. 참고문헌 ---6P 1. 서론 초전도체 응용기술은 21세기의 에너지, 정보통신, 의료, 교통, ..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10