전체 (검색결과 약 736개 중 18페이지)

 가을용견본발송예정통지서신 ( 1Pages )
가을용 견본 발송 예정 통지 서신 CHRISTIAN TRADE LTD. 25 Reynolds Dr. Ve. Edgware Middx. HA 8 5PU England Dear Sirs, We are in receipt of your letter of May 31, for which we thank you. We are glad to hear that the samples we sent to you are suitable in your market and shall look forward to receiving your substantial orders later in the year or early next year. ..
서식 > 회사서식 |
 마이크로컴퓨터실험-SPI TWI직렬통신 ( 19Pages )
마이크로컴퓨터실험 1. 실험목적 - ATmega128의 SPI 직렬통신 포트를 응용한 통신 프로그램 작성 기술을 익힌다. (1) OK-128키트에서 SPI 직렬통신을 통하여 AT93C56 EEPROM에 데이터를 라이트 및 리드하는 프로그램을 작성할 수 있다. - ATmega128의 TWI 직렬통신 포트를 응용한 통신 프로그램 작성 기술을 익힌다. (1) OK-128키트에서 TWI직렬통신을 통하여 AT24C16 EEPROM에 데이터를 라이트 및 리..
리포트 > 공학/기술 |
 실험보고서 - 인장강도 ( 4Pages )
1. 실험목적 1) 재료의 성질을 측정하는 대표적인 방법인 인장시험을 통해서 그 재료의 항복강도, 인장강도, 연신율, 단면수축율 등의 기계적인 성질과 탄성한계, 비례한계, Poisson비, 탄성 계수 등의 물리적 특성을 구할 수 있다. 2) 인장시험에 사용되는 시험기기의 사용법을 배우고 그에 따른 실험의 방법을 익히고 실습을 통하여 실험 중에 주의할 점을 배운다. 2. 실험이론 ①인장 응력(tensile strai..
리포트 > 자연과학 |
 이마트 ( 44Pages )
image marketing of E-Mart Prologue Market-oriented mission Marketing landscape Marketing orientation STP strategy Every Day Low Price Customer-Oriented Echo E-mart STP Strategy Segmentation Targeting Demographic -30-40대 -Housewives -Couples having children Geographic -city with 150,000 ~ 200,000 -urban area -place located around apartment complex Targeting Psyc..
리포트 > 경영/경제 |
 OCD (강박장애) 특성 ( 2Pages )
1. Introduction 1) Anxiety disorder ① most prevalent psychiatric condition ② produce inordinate morbidity, utilization of health care services, and functional mpairment ③ increase the rate of cardiovascular-related mortality in chronic phase 2) Neurobiological perspect - constructing animal model of fear and anxiety that appear relevant to human psychopathological..
리포트 > 의/약학 |
정리
 영문 통상사용권 계약서(REGISTERED TRADEMARK USER AGREEMENT) ( 2Pages )
REGISTERED TRADEMARK USER AGREEMENT THIS AGREEMENT is made and entered into on ___,____, 2000__ by and between World Corp. with its office at ___ (hereinafter called XYZ) of the one part and Wonder Inc., with its office at ___ (hereinafter called ABC) of the other part. WHEREAS, XYZ is the registered proprietor of the Trademark (hereinafter called the Trademark) particu..
서식 > 계약서 |
 영문 양도계약서 ( 2Pages )
ASSIGNMENT AGREEMENT This Assignment Agreement is made this (Date)th day of (Month), (Year) by and between: ABC CORPORATION, a corporation organized and existing under the laws of ---(Nation of ABC), having its principal office at ---(Address of ABC) (the ABC); and DEF CORPORATION, a corporation organized and existing under the laws of ---(Nation for DEF), having its pr..
서식 > 계약서 |
 인간관계론을 태동시킨 계기가 된 호손 공장 실험의 내용을 고찰하고 이 4가지 실험이 인간관계론에 어떠한 영향을 미치게 되었는가에 대하여 서술하시오 (2) ( 3Pages )
인간관계론을 태동시킨 계기가 된 호손 공장 실험의 내용을 고찰하고 이 4가지 실험이 인간관계론에 어떠한 영향을 미치게 되었는가에 대하여 서술하시오 작업하는 인간의 감정과 작업장 내에서의 관계가 생산성에 영향을 미친다는 사실을 강조하게 된 인간관계론은 그 발란이 호손실험에서 비롯되었다. 호손실험(Hawthorne experiment)은 AT & T의 서부전기회사(Western Electronic Co. )의 호손 공장에서..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 한국타이어,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 24Pages )
RFID IN HANKOOK TIRE @ Analysis of Organization Behavior Perspective Contents 1. Concept of RFID 2. Overview of Hankook Tire 3. Application of RFID in Hankook Tire 4. Suggestion 5. Q A 1. Concept of RFID Concept Of RFID Features Of RFID Features Of RFID Read only Impossible update of information Uncertainity of counting inventory Read / Write Manage the overall d..
리포트 > 경영/경제 |
 DISTRICTOFCOLUMBIADECLARATION ( 1Pages )
DISTRICT OF COLUMBIA DECLARATION Declaration made this ___ day of ___, 19__ (month, year). I___, being of sound mind, willfully and voluntarily make known my desires that my dying shall not be artificially prolonged under the circumstances set forth below, do declare : . . 중략 . . In the absence of my ability to give directions regarding the use of such life-su..
정보/기술 > BM/법률 |
 TheWidow ( 3Pages )
1.원문 The Widow's Lament in Springtime Sorrow is my own yard where the new grass flames as it has flamed often before but not with the cold fire that closes round me this year. Thirtyfive years I lived with my husband. The plumtree is white today with masses of flowers. Masses of flowers load the cherry branches and color some bushes yellow and some red but the grief in my..
리포트 > 기타 |
리포트, 레포트
 [세미나] National System and Improvement of GHG Estimation in Korea ( 28Pages )
National System and Improvement of GHG Estimation in Korea Contents Ⅰ. Background : After meeting in Oslo Cooperation between Institutions to compile climate change statistics - Between NSO and other institutions relating CC statistics, Research Institutes, Policy makers - Interdisciplinary nature of CC statistics - Implies Hard to see an efficient institutional linkage..
리포트 > 자연과학 |
 [세미나]남성과학 ( 61Pages )
남성과학 Male Infertility 정의: No pregnancy in couples who use no contraceptive measures within 1 year Incidence : 13 % M:F:M&F=1:1:1 Testis 한국인 정상 성인의 고환 크기 :3.8x2.7x2.1 cm 10gm, 20ml 내외 주기능: Testosterone synthesis Spermatogenesis 고환의 85% : 세정관 Spermatogenesis Primitive stem cell Type A spermatogonia Type B spermatogonia Primary sperma..
리포트 > 의/약학 |
 영문 고용계약서 ( 2Pages )
【EMPLOYMENT AGREEMENT】 NAME : (Family) (Middle and Last) Passport No : Sex : Address : Date of Birth : Nationality : (Month) (Day) (Year) Organization : Position : Employment Duration : This Agreement is made entered into as of the day of , 20 , between (hereinafter referred to as The Emplyer) and (hereinafter referred to as The Employee). Both partie..
서식 > 계약서 |
 무역회사 계약서(영문) ( 11Pages )
무역회사 계약서(영문) 작성 서식입니다. JOINT DEVELOPMENT AGREEMENT THIS AGREEMENT, made and entered into this day of , 20 , by and between ABC, Ltd., a corporation established and existing under the laws of , having its principal place of business at (hereinafter referred to as "ABC"), and XYZ, Ltd., a corporation established and existing under the laws..
서식 > 계약서 |
무역, 회사, 계약서, 영문
11 12 13 14 15 16 17 18 19 20