전체 (검색결과 약 474개 중 15페이지)

 일반물리학 실험 - 길이와 곡률반경 측정 ( 8Pages )
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에 미치는 정도를 계산한다 실험 원리 길이를 정밀하게 재는데 필요한 실험기구를 소개 하고 이들의 구조와 사용법 및 물체를 측정하는 과정에 필요한 이론을 알아 보자. 버니어 캘리퍼 그림 ..
리포트 > 공학/기술 |
 기계공작 - 전기면도기 조사 ( 30Pages )
Project 주제 : 전기면도기 1)정상적인 사용조건은 무엇이고 온도, 하중, 충격, 부식 분위기 등의 제한조건이 있나 ※전기면도기 개요 원리 수염을 깎기 위한 전기 기구. 소형 모터를 이용한 <회전식>과, 전자석(電磁石) 또는 소형 모터를 구동원(驅動源)으로 하여 진동자를 통해서 왕복운동으로 바꾸는 <진동식>의 2종류가 있다. 속날과 겉날로 구성되어 있으며 겉날에는 여러 개의 작은 구멍 또는 홈..
리포트 > 공학/기술 |
 [강의교재] 원자력 에너지 ( 33Pages )
원자력 에너지 찾아 가기 원자로와 원자폭탄 성취 기준 원자력 발전의 종류 핵융합 원자로의 구성 사고력향상문제 대체 에너지 원자력 발전의 원리 탐구 활동 원자력 발전 장단점 성취 기준 P43. 발전 방식의 장단점과 전 기의 안전한 사용법을 조사, 토 의하고 이를 바탕으로 합리적인 결론을 도출할 수 있다. P431. 여러 가지 발전 방식의 장단점을 토의할 수 있다. 성취 기준 K43. 여러 가지 발..
정보/기술 > 강의/교재 |
 만5세반 유아 일상,놀이 1년 (1학기+2학기) 관찰일지 기록 ( 12Pages )
만5세반 유아 일상,놀이 1년 (1학기+2학기) 관찰일지 기록입니다. 발달평가 포함입니다. - 일상생활 - 놀이활동 - 놀이활동 - 일상생활 - 놀이활동 - 놀이활동 - 1학기 발달평가 - 일상생활 - 놀이활동 - 놀이활동 - 일상생활 - 놀이활동 - 놀이활동 - 2학기 발달평가 자발적으로 신체 활동에 참여하며, 또래 친구들과 함께 하는 놀이를 즐거움을 느끼는 모습이었다. 공을 이용한 축구 놀이에 흥미를 가지..
리포트 > 교육학 |
영유아관찰일지, 만5세 관찰일지, 만5세 평가인증, 만5세평가제, 1년관찰일지, 발달평가, 아동관찰일지, 평가인증관찰일지, 교육실습일지, 관찰일지
 [일반물리학 실험] 휘스톤브릿지 시스템 ( 4Pages )
[일반물리학 실험] 휘스톤브릿지 시스템 1. 목적 한 물질의 전도도(또는 비저항)는 그 물질의 전기적 성질에 관하여 매우 중요한 정보를 제공한다. 이를 실험적으로 결정하기 위하여 먼저 저항을 정확하고 정밀하게 측정하여야 한다. 이를 위하여 휘스톤 브리지가 종종 사용된다. 본 실험에서는 휘스톤 브리지의 구조와 사용법을 익히고, 미지 저항체의 전기 저항을 측정한다. 2. 실험 기구 휘스톤브리..
리포트 > 공학/기술 |
 심리_구조화된 동료질문 및 반응 훈련의 문제해결 효과 ( 3Pages )
문제해결 과정에서 구조화된 동료질문 및 반응 훈련의 효과 1. 들어가며 최근 들어 몇몇 연구들이 집단의 상호작용에서 나타나는 담화(talk)의 질을 높이기 위하여 구조화된 질문을 학생들에게 훈련시킴으로써 협력학습의 효과를 증진시키려고 노력해왔다. 예컨데, King(1989)은 고등학생과 대학생의 피험자에게 설명문 자료를 학습하는 상황에서 구조화된 질문을 훈련시킨 결과 성취도에 영향을 미친다는..
리포트 > 교육학 |
 [일반물리학 실험] 길이 측정 ( 3Pages )
일반물리학 실험 - 길이 측정 1.실험목적 버니어캘리퍼와 마이크로미터의 원리를 이해하고, 사용법에 대해서 배워 주어진 물체의 길이, 원통의 내경(안지름)과 외경(바깥지름), 간격, 깊이 등을 측정한다. 이러한 결과들로부터 면적과 부피를 계산하고, 이러한 측정 과정에서 발생하는 오차가 결과에 미치는 정도를 계산한다. 2.실험원리 길이를 정밀하게 재는 데 필요한 측정도구(버니어캘리퍼와 마이크..
리포트 > 자연과학 |
 유체역학 실험 - 점성계수 측정 실험 ( 5Pages )
점성계수 측정 실험 1. 실험목적 점성계수 측정실험에서는 자동차 엔진오일을 시료로 Saybolt 점도계를 사용해서 그 점도를 측정하였다. 우리가 실시한 이 실험에서는 Sayblot 점도계와 같은 모세관 점도계를 이용하여 유체의 점성계수를 측정하는 방법과 그 사용법을 숙지하고 유체의 점도에 대해서 이해하는데 실험의 목적이 있다. Saybolt 점도계는 물보다 큰 점성계수를 갖는 유체에 사용된다. 2. 관..
리포트 > 공학/기술 |
 일반물리학 실험 - 길이 측정 ( 4Pages )
일반물리학 실험 - 길이 측정 1. 실험목적 버니어캘리퍼스, 마이크로미터의 사용법을 배우고 물체의 길이, 원통의 내경(안지름)과 외경(바깥지름) 등을 측정한다. 이 결과들로 부터 면적과 부피를 계산하고, 이러한 측정과정에서 발생하는 오차가 결과에 미치는 정도를 계산한다. 2. 실험원리 길이를 정밀하게 재는 데 필요한 몇 가지 측정 도구들의 구조와 사용법에 대하여 알아본다. 그리고 측정값에 ..
리포트 > 자연과학 |
 만4세 3월,4월,5월,6월,7월,8월,9월,10월,11월,12월,1월,2월 1년 관찰일지와 발달평가 ( 12Pages )
만4세 3월,4월,5월,6월,7월,8월,9월,10월,11월,12월,1월,2월 1년 관찰일지와 발달평가 입니다 놀이,일상영역으로 나누어 관찰하였습니다. 평가인증 완료하여 A받았습니다. - 일상생활 - 놀이활동 - 놀이활동 - 일상생활 - 놀이활동 - 놀이활동 - 1학기 종합발달평가 - 일상생활 - 놀이활동 - 놀이활동 - 일상생활 - 놀이활동 - 놀이활동 - 2학기 종합발달평가 젓가락의 올바른 사용법을 알고 젓가락 사용..
리포트 > 교육학 |
유아관찰일지, 만4세 관찰일지, 만4세 평가인증, 만4세평가제, 1년관찰일지, 발달평가, 아동관찰일지, 평가인증관찰일지, 교육실습일지, 관찰일지
 생물학 실험 - 동물 및 식물세포 관찰 ( 3Pages )
실험 보고서 # 6 날짜 . 과정 생물실험 조 학번 이름 담당조교 1. 실험 제목 : 세포 관찰 2. 목 적 가. 광학현미경의 사용법을 숙달한다. 나. 동물, 식물 세포를 비교, 관찰한다. 3. 실험 재료/기구 및 방법 가. 실험 재료 및 기구 1) 광학현미경, 슬라이드글라스, 커버글라스, Iodine mordant, 증류수여과지, 양파, 면도칼, 아세트산카아민, 구강상피세포, 면봉, 70% 에탄올, 1% 메틸렌블루 나..
리포트 > 자연과학 |
 화학결과 보고서 - 유리 기구의 불확실도 결정 ( 4Pages )
화학결과 보고서 - 유리 기구의 불확실도 결정 1. 실험 목적 (Purpose) ①실험에서 사용되는 유리기구의 용도를 이해한다. ②주어진 실험기구의 사용법을 숙달한다. ③측정값을 유효숫자를 고려하여 처리하고 결과의 불확실도를 이해한다. 2. 실험원리 ( Introduction) 화학실험의 핵심은 관찰과 측정이므로 우리는 부피 질량 온도와 같은 물리량을 정확하게 측정해야하며 따라서 실험에서 원하는 정밀도에 ..
리포트 > 공학/기술 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 웹사업 소기업(직원10명이하) 문서체계 ( 103Pages )
웹을 기반으로 인터넷사업을 하고 있는 소기업(인원10명이하)에서 사용할 수 있는 모든 문서체계및 서식양식, 서식 사용법 인터넷에서 사업을 기반으로 하는 소기업에서 유용하게 사용할 수 있는 서식체계를 잡았습니다. 인원이 10명 정도의 회사에서 적당한 문서체계이며 웹기반에서 가장 필요한 마케팅, 제휴관계 및 웹사업의 특성에 필요한 모든 웹관련서식이 있습니다. 특히 일반기업에서 기본적으로 사..
서식 > 회사서식 |
11 12 13 14 15 16 17 18 19 20