전체 (검색결과 약 899개 중 8페이지)

 HOSPITAL ( 1Pages )
○○○○ HOSPITAL STATEMENT OF PATIENT'S ACCOUNT Date : To : Addres : Pt's Name : Room No : Dept : Admission from : To : Days : Cause : Diseases : Itemizid Receipt Interview Blood Room & Meals Lab.Tests Drugs X-ray Injection C-T.MRI Treatments EKG.EEG Anesthesia Cast Operation Emergency care Delivery Others Nurture TOTAL Physiotherapy PAID Dressing ..
서식 > 회사서식 |
 심리검사의 의미 ( 2Pages )
심리검사의 의미 인간의 심리적 현상과 행동에 대한 이해를 좀 더 객관적으로 설명하고자 하여 등장하게 된 것이 심리측정학이다. 심리검사는 심리측정학에서 비롯된 것으로 인간의 심리적 현상을 수량화하여 과학적으로 접근하는 데 다양하게 활용되고 있다. 심리검사(Psychological test)라고 할 때 검사(test)는 라틴어의 테스튜움(testum)에서 유래했으며, 오늘날 금속공학이나 화학 실험실에서 쓰고 ..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 VC++ UDP 통신 모듈 ( 20Pages )
UDP 서버와 클라이언트 예제 소스입니다. Port 지정과 데이타 전송횟수와 전송 성공율 및 실패율을 나타내어 줌니다. UDP TEST용으로 제작 했고 VOIP기술 이용에 도움이 됨니다. UDP 통신 모듈입니다. 2중 스레드와 2중 UDP 을 이용했습니다. 데이타을 지정한 횟수 많큼 보내서 회신하는 프로그램으로 UDP 전송율을 나타내주는 프로그램입니다. 프로그램은 VC++로 제작 했습니다.
정보/기술 > 프로그램소스 |
 [전자공학과] 시스템소프트웨어실험 ( 4Pages )
시스템소프트웨어실험 4장 예제 report Ex04-02 #include [unistd.h] #include [sys/types.h] #include [sys/stat.h] int main() {  int filedes;     mode_t oldmask;     oldmask = umask(023);     filedes = open( test.txt ,O_CREAT, 0777);     close(filedes); } Ex04-04 #include [stdio.h] #include [stdlib.h] #include [unistd.h] int main() { char *filename = test04.txt ; if ( access(fi..
리포트 > 공학/기술 |
 [실험보고서] 인장시험[tension test] ( 6Pages )
인장시험 1. 실험목적 인장시험의 목적은 시험편의 인장하중-변형량 관계를 측정하여 항복점, 인장강도, 탄성계수, 변형도, 단면감소율 등 기계적 성질을 알아보는 데 있다. 2. 관련 이론 (1) 하중-변형랑 선도(응력-변형도 선도) 하중의 증가에 따라 초기에는 변형량이 직선적으로 증가한다. 이점을 비례한도점이라하며, 이 때의 응력을 비례한도라고 한다. 이범위 내에서는 Hooke의 법칙, 의 관계가 ..
리포트 > 자연과학 |
 구개파열자를위한비음 ( 3Pages )
구개파열자를 위한 비음화 진단 도구 (정옥란 역, 1994) 성 명 : 생년월일 : 년 월 일 연령 : 만 세 개월 성별 : 남 , 녀 검사자 : 검 사 일 : 년 월 일 구강 및 구개상태(수술유무) Ⅰ. 콧김방출 여부 검사(Nasal Emission) : 다음의 단어를 발음할 때 콧김이 동반되는지를 검사한다. 콧김이 동반된 단어나 음절에 ○표 하시오(손가락이나 얇은 종이를 코밑에 대고 검사한다) 밥법 부부 보리 복숭아 포도..
리포트 > 의/약학 |
의학, 약학
 고체역학 실험 - 로크웰 경도 시험 ( 6Pages )
1. 서론  물체의 경도는 공업재료에 있어서 중요한 기계적 성질 중의 하나이다. 그러나 경도의 의미를 정확하게 정의하기는 상당히 어려운 일이며 그 정의도 여러 가지가 있어 확정된 것은 없다.  경도라는 용어에 대한 일반적인 성명은 압입저항, 반발저항, 마찰저항 등으로 표시하고 있으며, 어떤 방법은 재료표면에 영구변형이 생기지 않는 범위에서 경도를 측정하고, 또 어떤 것은 영부 변형이 생기게..
리포트 > 자연과학 |
 토익의관해[1][1].. ( 11Pages )
Test of English for International Communication TOEIC의 개요 TOEIC(Test of English for International Communication)은 우리에게 잘 알려진 TOEFL 시험 을 출제, 시행하는 ETS(Educational Testing Service)가 산업계의 국제적 공용어로서의 영어 숙달 정도를 측정하기 위해 개발한 시험이다. 1979년에 일본에서 처음 실시되었으며 우리나라의 경우 1982년 1월에 도입되어 1,379명이 처음 응..
시험/자격증 > 어학 |
핵심요약노트
 심리검사의 이론과 활용 ( 23Pages )
본 자료는 심리검사의 이론과 활용에 대해 서술한 자료로 유아의 발달평가(행동관찰, 정서적 유대관계, 상호작용, 발달검사, 주 양육자의 특성), 아동과 청소년의 행동관찰(행동관찰, 발달평가 및 부모의 기질평가, 환경에 따른 구조적인 또는 비구조적인 방법, 구조화된 질문지), 아동과 청소년의 심리검사(검사 배터리, TAT & CAT, Rorschach 검사, H-T-P검사 (House-Tree-Person test: 집-나무-사람 검사..
리포트 > 사회과학 |
심리평가, 심리검사, 검사 배터리, 아동 심리검사, 청소년 심리검사, TAT & CAT, Rorschach 검사, H-T-P검사, KFD 검사, SSCT, MBTI, MMPI-A
 [실험보고서] 비틀림 시험[torison test] ( 6Pages )
비틀림 시험 1. 시험목적 비틀림시험은 각종 형태의 단면을 갖는 봉이나 실물, 모델 등에 비틀림모우멘트를 가하여 재료의 전단탄성계수, 전단항복점, 비틀림전단강도, 전단응력과 전단변형도와의 관계등 비틀림에 대한 재료의 성질을 알아보기 위한 것이다. 2. 이 론 양단에 비틀림모우멘트를 받는 원형단면봉의 비틀림에 대하여 고찰하여 보면, 외력으로 작용하는 비틀림모우멘트 T는 횡단면에 작용하..
리포트 > 자연과학 |
 [생물학실험] 생명의 기원 - 코아세르베이트를 관찰 ( 4Pages )
1.실험목표 생명의 기원 가설중 하나인 코아세르베이트가 형성되는 환경조건을 찾아보고 코아세르베이트를 관찰한다. 2.실험재료 - 1% gelatin 용액, 1% gum arabic 용액 - 0.1% congo red 염색액 (산성 친화성) - 0.1N HCl 용액 - pipette, 50ml tube - pH test paper - slide glass, cover glass - 현미경 3.실험방법 1) 1% gelatin 용액 5ml과 1% gum arabic 용액 3ml을 pipette으로 tube에 넣었 다. 2..
리포트 > 자연과학 |
 IPHONE 사양서, 가정용/차량용 Audio 기기/CDMP3 PLAYER와 IPHONE 연동 ( 946Pages )
최근 APPLE사의 IPHONE이 유명하다. 첨부된 자료는 CDMP3 PLAYER등 의 제품에 IPHONE을 꼿아서 사용할 수 있도록 설계할 수 있는 사양을 지정하는 자료이다. 모든 기기들이 IPHONE과 연동되어서 동작(IPHONE에 있는 CDMP3 나 동영상 FILE들을 가정용 \또는 차량용 AUDIO 기기를 통해서 들을수 있는 해법을 제시한다. MFi Accessory Testing Specification Release R5 2010-07-23 ....
정보/기술 > 정보통신 |
IPHONE, CDMP3, AUDIO
 [실험보고서] 경도시험[hardness test] ( 4Pages )
경도시험 1. 시험목적 경도란 물체의 변형에 대한 저항을 의미하며, 압입저항, 반발저항, 마모저항 등으로 표시된다. 이 시험에서는 공학에서 금속에 널리 사용되는 압입저항의 측정을 목적으로 한다. 2. 이 론 (1) 브리넬 경도 브리넬 경도 시험은 압입체로 직경 D(mm)의 강구 또는 텅스텐카바이드구를 사용하여 정하중 P(kg) 로 시험편 표면에 일정시간 동안 (보통 30초) 압입한다. 이때 브리넬 경도 ..
리포트 > 자연과학 |
 창의성 검사, 창의력 훈련 ( 3Pages )
창의성 검사, 창의력 훈련 1. 창의성 검사 심리측정적 연구방법을 통한 창의성 검사는 주로 여러 개의 답이 있을 수 있는 확산적 사고를 측정하거나, 한 가지 자극에 대해 얼마나 많은 연상을 할 수 있는지의 아이디어 유창성을 측정한다. 창의성 검사에는 일반적으로 토랜스 창의성 검사(Torrance Tests of Creative thinkine, 1966)가 많이 사용된다. 피험자가 한 반응의 수를 평가하는 유창성, 이들 반..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 재료시험기시험의뢰서 ( 1Pages )
재료시험기 시험의뢰서 (Universal Testing Machine) No. 20 년월일 소속 과실 (TEL :) 성명 지도교수 : 의뢰자 : (인) 분석요구일시 20 년월일시~시 분석내용 사용 장비명 DYNAMIC 8032 (), STATIC 4026 (), 5583 () 시험종류 시편규격 시험목적 시료명 재질명: 열처리 상태 : 수량: 시편회수 (Yes/No) 특기사항 담당자 : (TEL. ) 기술지원과
서식 > 회사서식 |
1 2 3 4 5 6 7 8 9 10