전체 (검색결과 약 26,458개 중 56페이지)

 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 2025 경신 연구-전자시험 자기소개서 ( 4Pages )
회로 이론뿐만 아니라 실무 수준의 장비 운용 능력, 데이터 해석, 그리고 신뢰성 평가 리포트 작성까지 직접 수행해 본 경험은 경신의 전자시험 직무에서 즉시 활용 가능한 자산이라고 자부합니다. 전자시험 직무에서 가장 중요한 역량은 무엇이라고 생각하십니까? 회로설계 및 시험 시 반드시 고려되는 기준입니다. 내부 회로 설계부터 시험까지 자체적으로 수행한다는 점입니다. 4학년 1학기 캡스톤 프로..
서식 > 자기소개서 |
시험, 회로, 설계, 차량, 분석, 프로젝트, 경험, 경신, 통신, , 신호, 기반, 수준, 역량, 데이터, 해석, 간섭, , 기술, 장비
 CDMP3 PLAYER 회로 ( 5Pages )
첨부 자료는 가정용 Analog boombox 에서 CDMP3를 CD 또는 USB로 부터 파일을 읽어서 Play하는 제품에 대한 reference 회로도 임. 따라서, 기업 또는 개인이 이러한 제품을 설계 및 제품 생산 등을 하기 위해서 필요하며 EMI,ESD등이 이미 회로에 적용되어 있슴.
정보/기술 > 전기전자 |
회로도, CDMP3, Audio, H/W
 [전자회로실험] Orcad 실험 ( 9Pages )
[전자회로실험] Orcad 실험 1. 실험제목 1) Orcad 실험 2. 목적 1) Orcad를 이용하여 회로도를 그리고 시뮬레이션 하는 방법을 익힌다. 2) 시뮬레이션의 종류가 여러 개 있음을 알고, 각 종류마다의 사용법을 익힌다. 3. 이론 1) Spice (Simulation Program eith Integrated Circuit Emphasis) 전기, 전자 및 디지털회로 등을 설계할 경우에는 회로 특성을 평가할 수 있는 정확한 방법이 필수적이다. ..
리포트 > 공학/기술 |
 2025 삼성전자 DX부문 생산기술연구소_회로개발 면접자료 ( 4Pages )
생산기술연구소 회로개발직무에 지원한 이유는 무엇인가요? 생산기술연구소에서 의회로 개발과 일반 제품 회로 개발의 차이는 무엇이라 생각하나요? 삼성전자 생산기술연구소에서 저는 기술의 정밀성과 생산성의 효율을 동시에 설계하는 회로 개발자 으성장하고 싶습니다. 그때부터 저는 제품이 만들어지는 과정을 분석하고, 설계 단계부터 양산성, 자동화 가능성, 테스트 편의성까지 고려하는 기술자이되고..
서식 > 자기소개서 |
회로, 설계, 생산, 기술, , 개발, 연구소, 제어, 제품, 장비, 테스트, 효율, 시스템, 성과, 생각, 고속, 품질, 부품, 안정, 단순하다
 전기 및 디지털 회로 실험 - Excess-3 ,Gray to BCD converter ( 16Pages )
전기 및 디지털회로 실험 Excess-3 ,Gray to BCD converter 목 차 1. NAND gate, Nor gate, Not gate 만을 사용하여 설계한다. 2. gate의 수를 최소화한다. 3. 10 이상의 숫자에서는 7 Segment 에 E 표시가 나오게 한다. (4입력이기 때문에 표에 없는 6가지) 4. 두 가지 이상(기본적으로 Excess-3 Code , Gray Code )의 Code 유형을 받아들일 수 있도록 한다. ‘Gray Code’와 ‘Excess-3 Code’..
리포트 > 공학/기술 |
 컴퓨터 응용 시스템 설계 실험 보고서 - verilog을 이용한 자판기 설계 ( 5Pages )
Vending Machine Design Ⅰ. 서 론 Not gate와 Nand gate 및 D-Flipflop을 사용하여 Vending Machine을 설계해보고 몇몇개의 옵션사항을 추가적으로 설계해본다. Ⅱ. 본 론 1. (LAB 3-1) Coffee Sprite Vending Machine Design 1$의 입력을 받으며 1$짜리 Coffee와 3$의 Sprite를 선택 할 수 있는 Vending Machine을 설계 해 본다. 가. State에 따른 Segment 및 추가 LED 설계 이번 실험에서 사용된 Segmen..
리포트 > 공학/기술 |
 2025 경신홀딩스 하드웨어개발 자기소개서 ( 3Pages )
저는 회로설계와 PCB아트웍, 신호무결성해석 등 하드웨어 개발의 전주기를 경험해본 엔지니어로서, 회로 기획부터 시제품 제작, 평가까지 실무형 개발자로 성장하고자 합니다. 이 경험은 단순한 설계 이해를 넘어 하드웨어 개발의 전체 흐름과 품질 개선까지 고민하는 자세를 길러주었습니다. 회로 설계 중 가장 까다로웠던 경험은 무엇이었나요? 경신홀딩스의 주요 하드웨어 제품군의 설계기준과 실제 품질..
서식 > 자기소개서 |
설계, 회로, 하드웨어, 경험, 되어다, 부품, 환경, 품질, 개선, 개발, 전원, 입력, 신호, 제품, 신뢰, , 테스트, 홀딩스, 센서, 고려
 한화시스템 방산부문 상반기 신입 HW 자기소개서 및 면접질문 ( 4Pages )
특히, 한화시스템의 방산HW 개발 직무는 고 신뢰성 전자장비 설계, 신호처리시스템 개발, 내구성 강화 및 저전력회로 설계 등 정밀한 기술이 요구되는 분야입니다. 저는 임베디드 시스템 설계, FPGA 기반 신호처리, 회로설계 및 전력 최적화 등 하드웨어 개발 역량을 갖추고 있으며, 이를 통해 한화시스템의 HW 개발에 기여하고 싶습니다. 저는 임베디드 시스템 설계, FPGA 기반 신호처리, 전력 최적화 회로..
서식 > 자기소개서 |
시스템, 방산, 설계, 개발, 한화, 신호처리, 기여, 전력, hw, 회로, 장비, 환경, 경험, 최적화, , fpga, 싶다, 기반, 신뢰, 기술
 SK하이닉스 소자 직무 합격 자기소개서 ( 3Pages )
전역 후 전공과목을 많이 수강하고 좋은 성적을 받아 전공평점은 조금 더 높습니다. 해당 분야의 필수 전공과목인 '전자회로' 과목과 '반도체 소자' 과목을 집중적으로 공부하였고, 두 과목 모두 A+의 좋은 성적을 거두었습니다. 스스로의 지적 호기심과 탐구에 대한 보상을 받았다는 생각이 들었고, 이러한 탐구정신을 다른 전공과목들에서도 유지하여 대부분의 전공과목에서 좋은 성적을 거두었습니다. 실..
서식 > 자기소개서 |
과목, 회로, 실험, 성적, 생각, , 설계, 이러하다, 좋다, 해당, 과정, 프로젝트, 꼼꼼하다, , , 받다, 목표, 탐구, 문제, 학습
 전자공학 실험 - BJT의 특성과 바이어스회로 ( 11Pages )
BJT의 특성과 바이어스회로 1. 실험 목적 - 바이폴라 접합 트랜지스터의 직류 특성을 직류 등가 회로와 소신호 등가회로의 모델 파라미터들을 구한다. 그리고 바이어스 원리와 안정화를 학습하고, 전압 분할기 바이어스 회로에서 동작점의 변화에 대한 출력 파형의 변화를 실험으로 관측한다. 2. 실험 해설 - 바이폴라 접합 트랜지스터(BJT:bipolar junction transistor)는 개별회로나 집접회로설계에..
리포트 > 공학/기술 |
 PMSM의 속도 제어회로 OrCAD로 작성 ( 12Pages )
목차 1. 설계 목적 2. 이론 ① PMSM ② IGBT ③ 스위칭소자로서의 MOSFET ④ 인버터 ⑤ Inverse_DQ ⑥ PID제어기 3. 설계내용 ① 단상인버터 설계 ② 3상인버터 설계 ③ Inverse DQ ④ 설계에 사용된 PMSM ⑤ PI제어기 ⑥ 전체블록선도 ⑦ 무부하에서 출력파형 ⑧ 부하입력시 출력파형 4. 결론 1. 설계목적 전기기기 및 제어설계 수업에서 배운 내용을 토대로 Simulink를 이용하여 PMSM 속도제어회로설계 할 수 있..
리포트 > 공학/기술 |
 2025 경신 (전장설계) 자기소개서 자소서 면접 ( 4Pages )
경신(전장 설계) 자기소개서자소서 면접 전장 설계 업무의 가장 큰 매력은 무엇이라고 생각하나요? 전장 설계 중 가장 어려웠던 부분은 무엇이며, 어떻게 극복했나요? 차량 한 모델의 전장설계를 처음부터 끝까지 참여하여, 회로 설계와 배선 설계, 양산연계까지의 전 과정을 체득하는 것이 1차 목표입니다. 경신(전장 설계) 자기소개서자소서 면접 저는 학부과정에서 쌓은 회로 설계 경험과 캡스톤 디자인,..
서식 > 자기소개서 |
설계, 회로, 전장, , 생각, 차량, 경험, 과정, 배선, 부품, 경신, 시스템, 프로젝트, , 기술, , 되어다, 이다, 성과, 문제
 bcd코드, gray코드 10진카운 ( 6Pages )
BCD코드,Gray코드 10진 카운터 설계 목차 1.Gray코드설명 2. BCD코드,Gray코드 10진 카운터 설계 3.카르노 맵 작성 4.회로도 구성 Gray코드란 ....
리포트 > 공학/기술 |
51 52 53 54 55 56 57 58 59 60