전체 (검색결과 약 9,551개 중 41페이지)

 문화와 인간행동 보고서(한국인의 문화적 특성, 동양인과 서양의 사고방식 차이) ( 7Pages )
교수님께 여러번 자문을 구하고 조모임을 통해 수정 보완하여 직접 작성한 레포트 입니다. 잘되어서 뿌듯하고 학점도 잘 받았습니다. 문화와 인간행동 1. 문화의 개념 2. 문화 개념의 역사적 변천 3. 문화의 특성 4. 문화의 유형 5. 문화가 인간행동에 미치는 영향 <표 1>동양인과 서양인의 사고방식의 차이 6. 한국인의 문화적 특성 7. 문화와 사회복지 실천 1. 문화의 개념 - 문화란, 한 사회 그..
리포트 > 사회과학 |
문화와 인간행동, 우리나라의문화적특성, 우리나라문화의유형
 메리트 승급과 인센티브 승급 ( 3Pages )
메리트 승급과 인센티브 승급에 대한 검토 Ⅰ. 들어가며 최근 우리나라 인사관리에서 성과주의 및 능력주의 보상시스템의 도입과 관련하여, 고과승급(merit pay)과 인센티브제에 대한 관심이 높아지고 있다. 이하에서는 이에 대하여 알아보겠다. Ⅱ. 고과승급(merit pay) 1. 의의 고과승급은 성과를 객관적으로 측정할 수 없는 없는 경우에 인사고과 등을 통하여 승급액을 결정하거나 승진을 시켜서 임..
리포트 > 경영/경제 |
 교재에서 역사의 현장을 다루는 방식을 참고하여 나의 삶에 특별한 공간을 한두 곳 소개하고 그 의미를 서술할 것. ( 6Pages )
교과목명: 역사의현장을 찾아서 ※ 교재에서 역사의 현장을 다루는 방식을 참고하여 나의 삶에 특별한 공간을 한두 곳 소개하고 그 의미를 서술할 것. 1. 역사적으로 잘 알려진 공간보다, 자신에게 특별한 기억이 있던 곳(고향, 거주지) 등을 우선으로 선택할 것. 2. 잘 알려진 역사현장은 역사적 사실이나 유적에 대한 소개보다 그곳에 대한 자신의 경험에 비중을 두고 충분히 서술할 것. 3. 인터넷자료, ..
리포트 > 인문/어학 |
방송통신대학교, 역사의 현장을 찾아서, 수원 화성
 [스포츠a+자료] 스키의 유래 및 기본기술과 종류, 경기방식에 대해서 ( 11Pages )
[목차] 1.스키의 유래 2.스키의 기본기술 1)스키를 신고 벋는 방법 2)스키 운반 방법 3)준비운동 4)걷는 방법 5)서는 법 6)방향바꾸기 -스타턴 -킥턴 7)경사면 오르기 -계단오르기/사등행 -V자 오르기 8)넘어졌다 일어나는 법 9)직활강 따라하기 10)직활강시 A자형 활강 및 정지 (플루크) 11)오른쪽 돌기와 왼쪽 돌기 12)플루크 자세로 사선으로 타기 3.스키경기의 종류 및 경기방식 1)..
리포트 > 예체능 |
 [서비스전달시스템] 서비스전달 시스템 설계기법, 서비스전달 시스템의 종류 ( 7Pages )
[서비스전달시스템] 서비스전달 시스템 설계기법, 서비스전달 시스템의 종류 목차 서비스전달시스템 Ⅰ. 서비스전달 시스템 설계기법 1. 생산라인 방식의 적용 1) 직무 세분화 2) 서비스인력의 기술 대체 3) 서비스 표준화 2. 공동생산자로서의 고객 3. 고객접촉 방식 4. 정보권한 1) 종업원권한 2) 고객권한 Ⅱ. 서비스전달 시스템의 종류 1. 기능 위주의 서비스전달 시스템 2. 고객화 위주의 서비스..
리포트 > 경영/경제 |
개념 정의, 특성 특징 중요성, 문제점해결방안, 영향요인, 개선과제
 폐기물, 환경을 위해 해야 할 일 ( 4Pages )
[폐기물] 1.폐기물 지금 우리 인류는 쓰레기 처리에 고민을 해야 하는 시기가 왔다. 불과 얼마 전까지만 해도 사람들은 자신에게 필요한 물건을 모두 자연에서 얻었다. 하지만, 산업화가 일어나면서 경제가 성장하고, 생활수준이 높아지면서 우리가 쓰는 자원의 양이 늘어났고, 그에 따른 쓰레기의 양도 늘어났다. 예전에 쓰레기의 대부분은 찌꺼기와 연탄재였지만, 요즘은 플라스틱, 각종일회용품, 못 쓰..
리포트 > 기타 |
 푸꼬의 철학에 따른 규율이 신체를 만들어내는 구체적 전략 ( 4Pages )
푸꼬의 철학에 따른 규율이 신체를 만들어내는 구체적 전략 1. 들어가며 규율의 새로운 기술적 측면을 염두에 두고 규율이 신체를 만들어내는 구체적인 전략을 살펴보자. 푸꼬는 규율아 공간과 시간을 활용하는 방식, 개체를 단계적으로 형성하는 방식, 신체의 고립된 힘들을 조합하는 방식 등에 관한 다양한 사례를 든다. 2. 규율이 공간과 시간을 활용하는 방식 규율이 공간과 시간을 활용하는 방식을..
리포트 > 사회과학 |
 다국적_기업_생산관리 ( 74Pages )
다국적 기업의 생산관리 (jit 생산 관리 방식을 중심으로) (도요타 현대 bose 의 사례) Ⅰ. 서론 Ⅱ. 본론 1) JIT(Just-In-Time System) 시스템 1. JIT(Just-In-Time System) 시스템의 개념 및 배경 2. “7대 낭비”의 유형과 원인 3. JIT 시스템의 목표 4. JIT의 실천방향 5. JIT 시스템의 특성 6. JIT의 도입 시 고려사항 7. JIT시스템 도입을 위한 5S 8. JIT 시스템의 기본요소(운용기법) 9. JIT..
리포트 > 경영/경제 |
 분당수내동 삼라 마이다스빌 복합 주거오피스텔 신축CAD 설계도면[건축+ ( 3Pages )
수내역 롯데백화점 대각선에 시공되어있는 주거형 오피스텔임... 주차방식이 스�형으로되어있어 설계에 많은 도움이 될거라고 사료됨.
정보/기술 > 설계/사양서 |
 검사완료일보 ( 1Pages )
공번, 발주처, 형명, 개수, 명세 등을 항목으로 기입하는 검사완료일보 양식입니다. 검사완료일보 년월일 No. 공번 발주처 형명 개수 명세 납기 방식 : :
서식 > 회사서식 |
검사완료일보, 공번, 발주처, 형명
 정보사회론 - 뉴미디어 ( 2Pages )
<뉴미디어> A. 미디어 전송방식의 변화 - 디지털 -디지털 정보처리기술의 발달 컴퓨터 기술과 반도체 기술과 함께 오늘날 다양한 뉴미디어의 등장을 가능하게 만든 기술적인 토양은 디지털 정보처리기술의 발달이라고 할수 있다. 오늘날 컴퓨터는 「on - off」 의 이분법에 의해 처리하는 디지털 방식을 채용하고 있다. 그러나 이러한 디지털 방식의 뉴미디어의 개발에 보다 결정적인 영향을 미치게 ..
리포트 > 사회과학 |
공학, 기술
 법정휴가의 효율적 운영방식에 대한 검토 ( 2Pages )
현행 근로기준법상 법정휴가의 효율적 운영방식에 대한 검토 1. 들어가며 개정 근로기준법하에서 기본적으로 토요일 4시간은 근로제공의 의무가 없는 휴무일로 노동부는 해석하고 있어 기존의 토요휴무로 대체되었던 연월차휴가 부분에 대한 조정이 불가피할 것으로 생각된다. 즉, 그 동안 토요휴무로 대체되었던 연월차휴가(개정 근로기준법하에서는 월차휴가가 삭제됨)는 이를 조정하여 개정 근로기준법..
리포트 > 법학 |
 인터넷 쇼핑몰 운영전략 ( 8Pages )
인터넷 쇼핑몰 운영전략 목차 * 인터넷 쇼핑몰의 이해 Ⅰ. 인터넷 쇼핑몰의 개요 Ⅱ. 인터넷 쇼핑몰 운영전략 1. 종합 쇼핑몰이나 전문 쇼핑몰에 입점하는 방식 2. 포털사이트의 소호몰에 입점하는 방식 3. 경매 사이트에 상품을 올리는 방식 4. 자기만의 독립 쇼핑몰을 구축하는 방식 * 인터넷 쇼핑몰의 이해 1. 인터넷 쇼핑몰의 개요 인터넷 쇼핑몰이란 컴퓨터 통신망인 인터넷 가상공간과 사..
리포트 > 경영/경제 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 제연설비 성능시험표 ( 1Pages )
제연설비 성능시험표입니다. 제연설비 성능시험표 1. 설치상태개요 항목 양호 불량 배출기 설치위치 기계실의구 조 벽□ 내화 □ 불연 □ 그밖의 것: 천정 □ 내화 □ 불연 □ 그밖의 것: 개구부 □ 갑종 □ 을종 □ 불연재 배출량 m3/min 기동장치 자동 수동 배출구 크기m×m 설치위치 □ 천정면 □ 천정직하 □ 그밖의 것: 배연구획의 구조등 배연구획 면적 최대 : m2 배연구획의 구조 □ 내화 □ 불연 □ 그밖의 ..
서식 > 건설서식 |
제연설비, 성능실험표, 제연
 전기식 자동제어 시스템 ( 25Pages )
전기식 자동제어 시스템 전기식. 전자식 및 공기식은 검출부에서 검출한 아날로그 신호(온도, 습도. 압력 등)를 조절부에서도 신호형태의 변환없이 아날로그 신호를 직접 사용하기 때문에 아 날로그 방식이라고 하며, DDC방식은 검출부의 아날로그 신호를 조절부에서 디지털 신호형태로 변환하여 연산·처리하기 때문에 디지털방식이라고 한다. 아날로그 방식 이든 디지털 방식이든 그 제어방식에 관계없이 ..
리포트 > 공학/기술 |
41 42 43 44 45 46 47 48 49 50