전체 (검색결과 약 16,601개 중 4페이지)

 일반물리학 실험 - 키로히호프의 법칙 실험 ( 5Pages )
키로히호프의 법칙 실험 1. 목적 복잡한 회로를 구성하여 그 회로에 흐르는 미지 전류를 측정하고, 이 결과를 회로에 키르히호프의 법칙을 적용하여 구한 전류 값과 비교하여 본다. 그리고 그 과정으로부터 키르히호프의 법칙을 이해한다. 2. 기본원리 [1] 키르히호프의 법칙 제 1법칙 : 어떤 회로에서 임의의 분기점 (갈림점)으로 흘러들어가는 전류의 양은 그 분기점에서 흘러 나오는 전류의 양과 같..
리포트 > 자연과학 |
 전기전자공학개론 - 연산, 비반전, 반전 증폭기 ( 13Pages )
전기전자공학계론 목차 연산 증폭기 연산증폭기는 단일 실리콘 웨이퍼에 많은 개별적인 전자회로를 집적시켜 놓은 집적회로이다. 연산 증폭기는, 이상적인 증폭기와 이상적인 회로소자의 특성에 기초한 가산, 필터링, 적분 등 많은 작업을 수행할 수 있다. 기본 연산회로 두가지 1.비반전 연산증폭기 2.반전 연산증폭기 (1) 연산 증폭기의 구성 ....
리포트 > 공학/기술 |
 미적분기 ( 8Pages )
1. 제목 : 미적분기 2. 목적 :신호증폭에 관련된 실험도구들에 대해 알고 활용법을 익힌다. 계측 회로 (미․적분 회로)를 직접 구성하여 보고 그 원리를 이해한다. 구성한 회로로 입력신 호가 미․적분 되어 출력되는 것을 확인한다. 3. 기본이론 (1) 미분기 : -입력신호의 시간적 변화율(시간미분)에 비례하는 출력을 내는 회로. -왼쪽 회로는 제한된 고주파 이득을 가지는 미분기이다. (높은 주파수에..
리포트 > 공학/기술 |
 기초회로실험(예비+결과) - 오실로스코프의 사용법 ( 7Pages )
오실로스코프의 사용법 예비 보고서 1. 실험 목적 (1) 트리거형 오실로스코프의 사용 방법을 익힌다. (2) 교류(AC) 전압 파형을 측정하기 위하여 오실로스코프를 적절히 연결하고 조작 할 수 있는 방법을 익힌다. 2. 기본 이론 1) 오실로스코프 (oscilloscope : OSC)란 그림 1 오실로스코프 독일의 K.F.브라운이 1897년 학교 교재로서 개발한 것이었으나, 전자기술의 발전과 더불어 없어서는 안 되는 ..
리포트 > 자연과학 |
 오실로스코프-신호 발생기 실험 ( 7Pages )
오실로스코프/신호발생기 실험 오실로스코프 《 실험목적 》 - 오실로스코프(Oscilloscope)의 동작원리에 대하여 이해한다. - 오실로스코프의 사용방법을 익힌다. - 함수발생기(Function Generator)의 사용법을 익힌다. - 파형관측, 전압, 주파수 및 위상측정 방법을 익힌다. - 리사쥬 도형에 의한 위상차를 측정한다. 《 관련이론 》 - 오실로스코프 시간에 따른 입력전압의 변화를 화면에 출력하..
리포트 > 자연과학 |
 삼성전자 System LSI 합격 자기소개서 ( 3Pages )
이러한 회로 설계 및 측정장비 사용 경험은 제가 삼성전자 DS 부문에 입사하여 회로 개발 실무를 하는데 큰 도움이 될 것이라고 확신합니다. 생체인식을 이용한 핀테크 산업이 최근에 중요하다고 생각한 사회 이슈입니다. 생체인식은 핀테크뿐만 아니라 다양한 기술에 접목하면 무한한 발전 가능성이 있습니다. 저는 학부 시절에 회로 이론, 전자회로, 반도체 소자, 디지털 집적회로, 전자회로 설계, 고체전..
서식 > 자기소개서 |
회로, 기술, 다양하다, 테스트, 삼성, 통해, 설계, 미래, 반도체, , 생체인식, 라인, 경험, 집적회로, 동작, 소자, , 테크, 목소리, 전자회로
 verilog 시계[디지털 논리 회로] ( 15Pages )
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_a,min_b,min_a,hour_b,hour_a,night_a,c1k_b,c1k_c); input c1k,reset; output [5:0] comma_a, sec_b, min_b, hour_b; output [4:0] sec_a, min_a; output [2:0] hour_a; output [3:0] night_..
리포트 > 공학/기술 |
 [전자전기기초실험] 건전지 내부저항 측정 대 병렬회로 대 직렬회로 결과값 보고서 입니다 ( 3Pages )
[건전지 내부저항 측정] 건전지와 직렬로 아래의 저항들을 접속하여 가면서 이 저항들이 을 대치하여 놓았다고 가정하고 양단의 전압 , (=), 을 측정하여 실험적으로 를 구하라. 저항 저항 180Ω 1.48289 1.47099 1.45616 2.2㏀ 1.48289 1.48227 0.9202 검토사항 ➀1차 전지와 2차 전지의 차이점을 설명하여라. 1차 전지는 1회용 전지이고, 2차 전지는 충전이 가능한 축전지이다. 2차 전지의 대표적인 것..
리포트 > 공학/기술 |
 전자회로설계 - 자판기 회로 설계 및 제작 ( 12Pages )
자판기 회로 설계 및 제작 목 차 1. 실험 설계 목적 2. 실험 설계 주제 3. 제품 사양 4. 설계 일정 및 변경 과정 A. 1차 B. 2차 C. 3차 5. 상태도 도출 6. 입력 변수와 상태 변수, 출력 변수 설정 7. 진리표 도출 8. 카르노 맵 간소화 과정 9. 전체 회로도 10. 구성원 역할 11. 동작 매뉴얼 12. 자체 평가 및 반성할 점 1. 실험 설계 목적 논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 ..
리포트 > 공학/기술 |
 [디지털 공학] 15 D 래치 및 D 플립-플롭 예비, 결과보고서 ( 13Pages )
15 D 래치 및 D 플립-플롭 ■ 실험목표 이 실험에서는 다음 사항들에 대한 능력을 습득한다. ●래치로 SPDT 스위치의 되튐에 의한 영향을 제거하는 방법에 대한 입증 ●NAND 게이트와 인버터를 이용한 게이트를 D 래치 구성 및 시험 ●D 플립-플롭의 테스트 및 래치와 플립-플롭의 몇 가지 응용회로 조사 ■사용부품 7486 quad XOR 게이트 7400 quad NAND 게이트 7404 hex 인버터 7474 dual D 플립-플롭 적색 L..
리포트 > 공학/기술 |
 Oscilloscope[오실로스코프 파동실험] 및 DC 회로 ( 9Pages )
Oscilloscope 및 DC 회로 1. 실험목적 Oscilloscope의 기본원리와 작동방법을 익힌다. 2. 실험이론 • Oscilloscope는 전압이 시간과 함께 변화하고 있는 모양을 브라운관을 이용하여 파형으로 관측하려는 것이다. 그 때문에 전압으로 변환할 수 있는 것이라면 어느 형태의 변화량이라도 관측할 수 있다. (예 , 전류 저항 온도 압력 속도) • Oscilloscope를 이용하면, 전기현상의 시간적인 변화를 연속..
리포트 > 공학/기술 |
 Pspice[피스 파이스] 기초와 활용 ( 124Pages )
Pspice 기초와 활용 Ver 14.2 PSpice 란 Spice (Simulation Program with Integrated Circuit Emphasis) 1972년 미국 버클리대학에서 개발한 전기, 전자 및 디지털 회로 시뮬레이션 프로그램 1984년 MicroSim사에서 Pspice 소개 1998년 OrCAD사에서 Pspice를 인수 2000년 cadence사에서 OrCAD사를 합병 수동소자(저항, 콘덴서, 인덕터), 능동소자(다이오드, 트랜지스터, FET)등에 대한 모델을 자료화 ..
리포트 > 공학/기술 |
 전기전자공학 - 기본논리 게이트 ( 10Pages )
1. 목 적 기본 논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대하여 살펴본다. 2. 이 론 디지털 시스템은 “1”과 “0” 두 가지 상태만을 가지는 소자들로 구성되며, 이들의 논리연산에는 부울 대수가 사용된다. 부울 대수의 함수를 논리함수라고 부르고 논리함수를 실현하는 전기적 스위칭 회로를 논리회로라 하며, 이 중 기본이 되는 간단한 것을 논리게이트 또는 단순히 게이..
리포트 > 공학/기술 |
 멀티미터의사용법 ( 6Pages )
실험 1 멀티미터의 사용법 1. 목적 본 실험에서는 일반 멀티미터 및 디지털 멀티미터의 기본 원리, 규격서 검토 및그 사용법을 익힌다. 2. 이론 ① 일반 멀티미터의 기본 구성 일반적으로 멀티미터는 직류 전압, 교류 전압, 직류 전류 및 저항 측정의 네 가지 기본 기능의 회로로 구성되며, 이들은 영구자석을 사용하는 가동 코일형 직류 전류계 M, 배율기와 분류기 K, 다이오드 D1, 전지 E및 전환 스위치..
정보/기술 > 토목/건축 |
 반전 증폭기 실험 ( 3Pages )
1. 제목 반전 증폭기 실험 2. 목적 - 반전 증폭기와 브레드보드, 프로토보드, NI ELVIS 프로그램의 사용법을 익힌다. - 반전 증폭기의 기능을 이용하여 동일 조건 하에서 저항값의 차이에 따른 전압의 변화를 측정한다. . 3. 기본이론 - 연산 증폭기 고증폭도를 가지고, 아날로그 신호의 가산, 감산, 적분 등의 연산이 가능한 증폭기. 연산 증폭기는 104~106배의 높은 전압이득을 갖는 자동입력, 단일 출력..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10