전체 (검색결과 약 46,472개 중 13페이지)

 일반물리실험 - 고체저항, 액체 저항 실험 ( 8Pages )
목차 1.실험목적 2.이론 1)고체저항 2)반도체 3)전류 3.실험 방법 1)실험준비물 2)실험방법 4.실험후 결과 1)실험후 그래프 결과 2)연구 고찰사항 고체저항과 액체저항 1.실험목적 1)고체저항의 색띠에 대한 이해. 2)액체저항과 소금의 농도와의 관계 이해 3)액체저항과 고체저항, 다이오드 사이에 차이와 유사점 *위에 3가지 목적을 중점적으로 파악하고 그밖에 액체. 고체저항 의 특성을 파악해보자..
리포트 > 자연과학 |
 산별노조체제하에서의 검토 문제점 ( 3Pages )
산별노조 체제하에서의 검토 문제점 1. 각급조직의 위상과 기능 1) 본조 - 단체교섭권과 체결권, 기업단위 보완교섭의 승인권을 본조 위원장에게 집중시키고 모든 단체교섭은 본조의 관할 하에서 진행되어야 할 것임. - 정책개발 기능, 조합원 간부교육 기능의 획기적인 신장이 있어야 함. 정책연구소, 상설 교육원의 설립이 시급함. - 과도 단계에서는 정부와 사용자들을 중앙교섭의 장으로 이끌어 내기 ..
리포트 > 법학 |
 세븐일레븐 재팬 경영시스템 MIS분석 파워포인트 ( 19Pages )
SEVEN - ELELVEN JAPAN 1. SEJ의 소개 2. SEJ의 주요 시스템 3. SEJ의 미국 시장 진출 4. 일본 편의점의 Add Value 5. SEJ의 당면 과제 목 차 1-1. 연혁 1-2. 편의점 산업과 Seven-Eleven in Japan 1. SEJ 1-1. 연 혁 1973년, Seven-Eleven Japan 설립 일본의 유통업체 요카도사가 Southland사로 부터 SEJ 프랜차이즈 운영권 매입 요크세븐(Yoke Seven)으로 출발 - 1974년, 첫 점포 개점 - 1979..
리포트 > 경영/경제 |
 [학습 지도안] 5)수학-소수의 곱셈-곱의 소수점의 위치를 알 수 있다. (세안) ( 12Pages )
5)수학-소수의 곱셈-곱의 소수점의 위치를 알 수 있다. (세안) (수학)과 교수-학습 과정안 일 시 장 소 대 상 5학년 지도 교생 지도 교사 결재 지도교사 협력교사 실습부장 교 감 초 등 학 교 1. 단원 4. 소수의 곱셈 2. 단원의 개관 4학년 1학기에서는 소수의 곱셈을 학습하고, 4학년 2학기에서는 소수의 덧셈과 뺄셈을 학습하고, 5학년 1학기에서는 분수의 곱셈을 학습하였다. 이러한 학습을 바..
리포트 > 교육학 |
 튼튼아이-한글터득-[ㅇ]-[ㄴ]-[ㄹ]-[ㅂ] 받침글자 익히기 비법 ( 92Pages )
* 세계최초의 다중표기式 한글터득비법 책 발명 및 창안저자인 金 貞 禮 원장의 25년' 교육 연구자료와 원고집필자료 및 멀티미디어 Contents 연구-제작-교육중인 한글터득프로그램입니다. * 교육계 최초의 체조式 한글터득프로그램인 튼튼아이 한글터득 1권~16권[金 貞 禮 저]은 가장 빨리! 가장 쉽게! 가장 오래 기억되는 한글터득비법임을 감히 자부합니다. * 교육계 최초의 튼튼아이 ..
초중고 생활/교육 > 기타 |
동시, 동요, 한글, 한글터득, 한글공부, 한글학습, 한글 깨치기, 한글 익히기
 [학습 지도안] 4)수학-소수-m단위의 거리를 km단위로 나타내기 위해 소수를 활용하는 문제를 해결 ( 9Pages )
4)수학-소수-m단위의 거리를 km단위로 나타내기 위해 소수를 활용하는 문제를 해결 수학과 교수․학습 과정안 수 업 자 단 원 7. 소수 주 제 탐구 문제와 문제 해결 일 시 장소 및 대상 4학년 협 의 장 소 다목적실 결재 일 6/ 수업교생 지도교사 실습부장 교감 교장 초 등 학 교 1. 단원 전개 계획 가. 단원 선정의 배경 이 단원에서는 3학년에서 학습한 소수의 개념을 바탕으로 분모가 100인 분수..
리포트 > 교육학 |
 남북한 독재체제의 성립과 분단구조 ( 8Pages )
남북한 독재체제의 성립과 분단구조 과목명 : 한국 현대사의 이해 담 당 : 한 상 구 교수님 제출일 : 1996년 10월 24일 학 과 : 농생물학과 학 번 : 90511-001 성 명 : 김 기 현 남북한 독재체제의 성립과 분단구조 -남한 유신체제와 북한 유일체제의 비교- Ⅰ. 문제제기 1972년 남북한에서는 각각 기존헌법이 ‘폐지’되고 새로운 헌법이 만들어졌다. 그리고 그 헌법에 따라서 1인 절대권력을 보장한 새로..
리포트 > 사회과학 |
사회과학
 [현황자료] OECD국가 대비 우리나라의 2000년도 온실가스 배출량 및 대응현황 상호 비교자료 ( 7Pages )
OECD국가 대비 우리나라의 2000년도 온실가스 배출량 및 대응현황 상호 비교자료 대응 조치 미국 일본 영국 독일 우리나라 1990년 배출량 (MTCO2eq) 6,131 1,247 742 1,223 289 1차기간 감축목표 -7 -6 -12.5 -21 - 장기 감축목표 2002~2012년간 GHG/GDP를 18% 감소 - 2050년, 2000년 대비 CO2를 60% 감축 2050년, 1990년 대비 GHG(또는 CO2) 80% 감축 에너지/GDP원단위, ('03년)0.30→('08년)0.28 2000년 ..
정보/기술 > 기타 |
 기상학 - 기후 변화에 관한 조사 ( 11Pages )
기상학 - 기후 변화에 관한 조사 ● 차례 Ⅰ. 서론 1. 기후변화란 Ⅱ. 기후변화의 원인 1. 자연적인 원인 2. 인위적인 원인 1) 지구온난화 Ⅲ. 기후변화의 현상 1. 기온 상승 2. 해수면 상승 3. 강수량 및 습도 4. 극심한 태풍 5. 기타 Ⅳ. 기후변화의 영향 1. 농업에 미치는 영향 2. 해양에 미치는 영향 3. 토양에 미치는 영향 4. 인간에게 미치는 영향 1) 활동의 영향 2) 건강의 영향 5. 생태계에 미치는 영..
리포트 > 사회과학 |
 물리 실험 - 길이와 곡률반경 측정 ( 8Pages )
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에 미치는 정도를 계산한다 실험 원리 길이를 정밀하게 재는데 필요한 실험기구를 소개 하고 이들의 구조와 사용법 및 물체를 측정하는 과정에 필요한 이론을 알아 보자. 버니어 캘리퍼 그림 ..
리포트 > 자연과학 |
 일반물리학실험 길이와 곡률반경 측정 ( 8Pages )
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에 미치는 정도를 계산한다 실험 원리 길이를 정밀하게 재는데 필요한 실험기구를 소개 하고 이들의 구조와 사용법 및 물체를 측정하는 과정에 필요한 이론을 알아 보자. 버니어 캘리퍼 그림 ..
리포트 > 자연과학 |
 일반물리학 - 길이와 곡률반경 측정 ( 8Pages )
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에 미치는 정도를 계산한다 실험 원리 길이를 정밀하게 재는데 필요한 실험기구를 소개 하고 이들의 구조와 사용법 및 물체를 측정하는 과정에 필요한 이론을 알아 보자. 버니어 캘리퍼 그림 ..
리포트 > 자연과학 |
 마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장 ( 10Pages )
과 목 명:조리과학 학 과:국제중국조리학과 학 번:2012102003 성 명:고은지 교 수:한재원교수님 점수 인 2012학년도 1학기 REPORT 제목 : 들쭉술 평가 점 수창의성 성실성5, 4, 3, 2, 1,과제의 이해도5, 4, 3, 2, 1, 북한의 음주문화 북한의 술은 간부와 북한 주재 외국인을 비롯한 소수가 소비하고 있을 뿐 주민들에게는 맥주값이 비싸 그림의 떡이다. 지방의 식료공장에서 생산되는 술은 대부분 ‘명정용..
리포트 > 경영/경제 |
 실험보고서 - 길이와 곡률반경 측정 ( 8Pages )
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에 미치는 정도를 계산한다 실험 원리 길이를 정밀하게 재는데 필요한 실험기구를 소개 하고 이들의 구조와 사용법 및 물체를 측정하는 과정에 필요한 이론을 알아 보자. 버니어 캘리퍼 그림 ..
리포트 > 자연과학 |
 일반물리학 실험 - 길이와 곡률반경 측정 ( 8Pages )
실험 목적 버니어 캘리퍼, 마이크로 미터, 구면계의 사용법과 측정원리를 배우고 물체의 길이, 원통의 내경과 외경, 얇은 판의 두께. 렌즈의 곡률 반경 등을 측정한다. 그리고 이러한 측정과정에서 오차가 결과에 미치는 정도를 계산한다 실험 원리 길이를 정밀하게 재는데 필요한 실험기구를 소개 하고 이들의 구조와 사용법 및 물체를 측정하는 과정에 필요한 이론을 알아 보자. 버니어 캘리퍼 그림 ..
리포트 > 자연과학 |
11 12 13 14 15 16 17 18 19 20