전체 (검색결과 약 139,660개 중 12페이지)

 인간과 생활환경- 기사거리와 생각 ( 6Pages )
REPORT 과목 담당교수 학과 학번 이름 제출일 [뉴스엔 이재환 기자] 지금까지 북극과 남극의 녹아내리는 빙하를 통해 지구온난화의 ‘드러난 증거’만을 보아왔다면 이제 바다 밑에 펼쳐진 ‘드러나지 않은 증거’에 눈길을 돌릴 때다. 유네스코 지정, 세계의 자연유산이자 세계 8대 명물이며, 지구 최대의 해양낙원을 이루고 있는 호주의 대보초. 지구 밖 인공위성에서도 보인다는 2000km 초거대 산호 ..
리포트 > 자연과학 |
 작업일보및 노무비명세서(세무서용),출근일보
작업일보 및 노무비 명세서,출근일보관련입니다. 작업현장에서 인부들 관리 및 세무서 제출용 자료입니다. 작업일보 노무비명세서(세무서용,소득공제및 4대보험관련건),출근일보 작업일보 출근일보 노무비명세서
서식 > 건설서식 |
출근일보, 노무비명세서
 [사회복지정책론] 선별주의[selectivism] 대보편주의[universalism] ( 4Pages )
사회보장제도에서의 선별주의와 보편주의(selectivism vs. universalism) 목 차 [서론] [본론] 선별주의와 보편주의 선별주의 [맺음말]r 사회보장제도에서의 선별주의와 보편주의(selectivism vs. universalism) [서론] 오늘날 사회보장에 있어서 보편적용의 원칙(무차별 평등의 원칙)을 어느 나라에서나 채택하고 있다. 헌법은 사회복지의 대상은「모든 국민」이라고 한다. 그런데 문제는 모든 국민..
리포트 > 사회과학 |
 Google 구글 STP분석 ( 3Pages )
Google SWOT분석 1. 시장세분화 (Segmentataion) 일반적으로 시장세분화는 인터넷 이용자의 나이, 성별과 같은 인구통계적 변수로 구분하여 시장세분화를 많이 하지만 포털사이트에서 세분화를 할 때에는 크게 의미가 없다고 생각한다. 20대라면 기본적으로 포털사이트 서비스를 이용하는 시간이 많기는 하지만 사람에 따라서 1시간을 이용하거나, 10시간을 이용할 수도 있을 것이다. 50대는 나이가 많으..
리포트 > 경영/경제 |
 [영어, 영작] 우리나라 역사를 영어로 설명(작문) 및 나의 의견 ( 3Pages )
우리나라는 아주 오래된 역사를 가진 나라입니다. 전쟁 이후 우리나라는 폐허가 되었습니다. 우리나라는 역사적으로 어려운 시기도 굉장히 많았습니다. 이후 신라가 삼국을 통일하게 됩니다. 첫 번째 왕인 왕건은 나라의 기틀을 다지기 위해 노력했습니다. 고려시대는 그 다음 등장하는 조선시대보다 여성의 인권이 높았던 시기로 알려져 있습니다. 이 시기에는 나라의 독립을 쟁취하기 위해 많은 사람들이 ..
리포트 > 인문/어학 |
우리나라, 나라, 시기, 역사, 문화, , 되어다, 사람, ntry, 많다, however, 위해, 현재, , 등장, orea, 이다, shistory, sincethen, 아주
 더 골(The Goal)을 읽고 감상문 및 느낀점 ( 3Pages )
Report ( 더 골(The Goal)을 읽고 감상문 및 느낀점 ) 학교때문이라고 핑계를 대보지만 어쩌면 집에서 낭비하는 시간들 탓에 책읽는 시간이 줄어들고 그덕에 한권의 책을 읽어 내려가는데 참 오래걸린듯하다. 간만에 소설책을 읽었다. 그냥 읽기 시작했던 소설인데 나름대로 재미있게 읽었다. 재미면 재미지 나름대로 재미는 모냐구 물으면 그이유는 긴박감이있는소설을 하루에 찔끔찔끔 봤기 때문일게다..
리포트 > 독후감/서평 |
 에뛰드하우스 EtudeHouse 마케팅전략 사럐분석및 새로운 마케팅 STP,4P전략 제안보고서 ( 18Pages )
에뛰드하우스 마케팅전략 1. 에뛰드하우스 마케팅 STP 전략 (1) 시장세분화(Market segmentation) 국내 화장품 소비자를 인구통계학적 변수(나이), 행동적 변수(소비자가 추구하는 편익)에 따라 세분화 했다. 성별은 화장품 시장의 주요 소비자인 여성으로 가정하였다. 1) 나이에 따른 세분화 소비 성향 10대 준거집단(친구)의 구전이 구매의사결정에 큰 영향 화장품을 처음 접하므로 상대적으로 관..
리포트 > 경영/경제 |
 청소년기 심리적 발달(정서발달, 인지발달) ( 3Pages )
청소년기 심리적 발달(정서발달, 인지발달) I. 정서발달 청소년기는 다른 연령대보다 급격한 정서 변화를 경험하는 것으로 알려져 있다. 아동기와 비교해 보면 청소년기에 나타는 정서적 특징은 몇 가지로 요약될 수 있다. 우선 격렬함과 동요성을 보인다는 점이다. 청소년은 사소한 상황 변화나 주변 사람의 반응에 쉽게 감정이 유발되거나 정서표현을 하곤 한다. 이러한 격동성은 청소년기 초기에 더욱 ..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 전문공제연대보증채무추인 ( 1Pages )
별지서식 보 제3-3호 연대보증채무추인서 ═══ 당사(본인)는 조합원 (대표자 : )의 아래 사유로 별첨 채무에 대하여 채무추인 연대보증인으로서 연대보증책임을 부담하고 차후 본 채무를 이행하지 않을 경우 출자증권 임의처분 등 어떠한 법적조치를 취하여도 하등의 이의를 제기하지 않겠기에 주채무자와 연서로 이 추인서를 제출합니다. 사 유 1. 기존채무에 대한 연대보증인(제3자연대보증인 포함) 부..
서식 > 건설서식 |
 4대보험가입서식 ( 3Pages )
[별지 제14호서식] (제1쪽 앞면) 처리기간구비서류 및 기재요령은 뒷 면을 참고하시기 바라며, 건강보험의 경우 피부양자가 있을 때에는 제2쪽의 “직장가입자자격취득 신고서(피부양자가 있는 경우) 에 작성하여 주시기 바랍니다. 국민연금 □사업장가입자자격취득신고서 건강보험 □직장가입자자격취득신고서(피부양자가 없는 경우) 고용보험 □피보험자격취득신고서 사업장기호 *공통기호 국민연금 건강보
서식 > 회사서식 |
 4대보험가입서식 ( 3Pages )
[별지 제14호서식] (제1쪽 앞면) 처리기간구비서류 및 기재요령은 뒷 면을 참고하시기 바라며, 건강보험의 경우 피부양자가 있을 때에는 제2쪽의 “직장가입자자격취득 신고서(피부양자가 있는 경우) 에 작성하여 주시기 바랍니다. 국민연금 □사업장가입자자격취득신고서 건강보험 □직장가입자자격취득신고서(피부양자가 없는 경우) 고용보험 □피보험자격취득신고서 사업장기호 *공통기호 국민연..
서식 > 세무회계서식 |
 누가한글을창제하였나 ( 2Pages )
누가 한글을 창제하였나 본인의 의도와 상관없이 의식에 거듭 떠올라 그 사람의 정신생활을 지배하고 행동에까지 영향을 미치는 관념을 말하는 심리학 용어로 고정관념이란 단어가 있다. 누군가 한글을 세종대왕이 창제하지 않았다고 한다며 손사래치며 거듭 부정할 것이다. 박춘명의 『훈민정음』뒷 표지에도 세종의 한글창제에 의문을 일으키는 문구를 볼 수 있다. 그 내면에는 반드시 알아야 할 역사가 ..
리포트 > 사회과학 |
 웹사이트 및 프로그램 평가(웹사이트의 디자인, 웹사이트평가, SW품질평가) ( 10Pages )
웹사이트 및 프로그램 평가(웹사이트의 디자인, 웹사이트평가, SW품질평가)에 대한 레포트 자료. 웹사이트 및 프로그램 평가(웹사이트의 디자인, 웹사이트평가, SW품질평가) 목차 웹사이트 및 프로그램 평가 I. 웹사이트 디자인과 평가 1. 웹사이트의 정의 및 기능 2. 웹사이트 디자인 1) 의의 2) 웹사이트 구축단계 3) 웹디자인 유의사항 3. 웹사이트 평가 II. 패키지 소프트웨어 품질 ..
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 (무선통신케이블)기술혁신개발사업계획서 ( 15Pages )
접수번호 중소기업 기술혁신개발사업계획(신청)서 개발기술명 (기술분야) 휴대용 단말기 무선통신 케이블장치 (정보통신장비제조분야) 주관기업 기업명 ○○○○(주) 설립년도 1997. 5. 1 주소 (○○-○○)○○도○○시○○구○○동○○-○ 대표자 ○○○ 종업원수 29명 연락처 TEL :○○)○○-○○ FAX :○○)○○-○○ 과제책임자 성명 ○○○ 직급 선임급 개발기간 1999년 1월 ~ 1999년 9월 ( 9개월) 개발사업비 총액 1
비지니스 > 사업계획서 |
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
11 12 13 14 15 16 17 18 19 20