전체 (검색결과 약 10,332개 중 10페이지)

 [디지털 회로설계] Moore , Mealy Type Finite State Machine ( 9Pages )
디지털 회로설계 1. 제목 : Moore / Mealy Type Finite State Machine 2. 개요 : Moore Type과 Mealy type의 state diagram을 보고 각각의 state table과 D F/F을 이용한 회로도를 작성한 후 두 가지 모두에 대하여 각각 VHDL code를 작성하여 시뮬레이션을 수행하여 미리 예상한 결과와 비교하여 본다. 이를 통해 Moore type과 meanly type의 이해도를 높이고 그 동작을 비교하여 파악한다. D F/F의 응..
리포트 > 공학/기술 |
 [디지털 회로설계] 4-Bit D Flip Flop 설계 ( 6Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) 위..
리포트 > 공학/기술 |
 물리학 실험 - 교류 회로의 주파수 응답 ( 4Pages )
1. 실험 제목 : 교류 회로의 주파수 응답 2. 실험 목적 - 본 실험을 통해 ∎교류회로의 주파수 응답에 대한 이론을 이해한다. ∎각 회로의 구성에 따른 필터 특성을 파악한다. ∎저역통과 필터와 고역통과 필터의 구성과 특징을 파악한다. 3. 실험 준비물 -오실로스코프 -함수발생기 -디지털 멀티미터(DMM) -저항 -인턱터 -커패시터 -콘덴서 -기판(BreadBoard) -전선, 니퍼, 집게코드 4. 실험 순서 1)..
리포트 > 자연과학 |
 컴퓨터 응용 시스템 설계 실험 보고서 - verilog을 이용한 자판기 설계 ( 5Pages )
Vending Machine Design Ⅰ. 서 론 Not gate와 Nand gate 및 D-Flipflop을 사용하여 Vending Machine을 설계해보고 몇몇개의 옵션사항을 추가적으로 설계해본다. Ⅱ. 본 론 1. (LAB 3-1) Coffee Sprite Vending Machine Design 1$의 입력을 받으며 1$짜리 Coffee와 3$의 Sprite를 선택 할 수 있는 Vending Machine을 설계 해 본다. 가. State에 따른 Segment 및 추가 LED 설계 이번 실험에서 사용된 Segmen..
리포트 > 공학/기술 |
 지능 시스템 설계 - 지능형 숫자 인식 시스템 ( 21Pages )
지능 시스템 설계 -지능형 숫자 인식 시스템- [목 차] 1. 설계 목표 2. 설계 제한 3. 설계 요소 (1) 팀 구성원 (2) 진행 계획 (3) 설계 환경 4. 설계 이론 (1) 이진화 (2) 골격화(세선화) (3) 클러스터링 (4) 패턴인식에서 퍼지이론에 비해 신경망이 가지는 장점 5. 지능형 문자 인식, 분류 시스템 상세 설계 (1) 시스템 구성 (2) 설계 과정 (3) 알고리즘 (4) 학습 결과 6. 요약 및 결..
리포트 > 공학/기술 |
 [논리회로실험] 멀티플렉서 디멀티플렉서 ( 5Pages )
Unit 4. 멀티플렉서 디멀티플렉서 실험의 의의 Bread Board를 이용한 회로를 작성하는 것 과 기계를 만지는데 좀 더 익숙해질 수 있었다. Logic gate 의 멀티플렉서와 디멀티플렉서를 구성할 수 있다. 실험의 결과들로 인해 멀티플렉서와 디멀티플렉서의 원리를 이해하고 동작을 확인할 수 있었다. 실험 수행 과정 및 결과 Multiplexer- 멀티플렉서 ・ 반가산기, XOR gate 1개와 AND gate 1개를 점..
리포트 > 공학/기술 |
 논리회로실험 - encoder decoder 7segment[7세이그먼트] ( 24Pages )
실험 목적 - Encoder, Decoder를 이해하고, 특성을 실험으로 익힌다. - 7 - segment LED decoder를 이해하고, 특성을 실험으로 익힌다. 실험 과정 1.4X2 encoder의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 인코더 회로를 구현한 후 Modelsim 값과 DE2-115에서의 동작을 확인한다. 1) Quartus Ⅱ 구동 후 4X2 encoder 회로를 [그림 14]처럼 구성하고 Modelsim을 이용하여 파형을 확인 후 DE2-115보드에..
리포트 > 공학/기술 |
 [전자회로] A급 증폭기 회로 설계, 시뮬레이션 값 이론값 실험값 비교 ( 11Pages )
●목적 - A급 증폭기의 이해 - 이론값을 이해 한 후, 실제 실험 이해 - 이론값, 시뮬레이션, 실제 실험과의 비교 ●A급 증폭기 - 소신호 증폭기에서 교류 신호는 전체 교류 부하선의 일부분에서만 동작한다. 출력신호가 교류 부하선의 한계에 있거나 넘어가게 되면 이때의 증폭기는 대신호 형태가 된다. 대신호나 소신호가 그림 9-1와 항상 선형 영역에서 동작한다면 이는 모두 A급(Class A)이 된다. [..
리포트 > 공학/기술 |
 디지털공학 실험 - 수 체계 실험 ( 5Pages )
제목 수 체계 실험목표 □2진수 또는 BCD(binary code decimal) 수를 10진수로 변환. □BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 시스템 구성. □모의실험용으로 결함을 만들어 놓은 회로의 고장 진단. 사용 부품 LED 4개 7447A BCD/10진 디코더 MAN72 7-세그먼트 디스플레이 4조 DIP 스위치 저항: 330Ω 11개, 1.0kΩ 1개 실험순서 1. 이 실험의 회로를 구성하기 전에 ‘실험 개요’의 ‘회로 결선..
리포트 > 공학/기술 |
 기계공학 실험 - 전위차계(Potensiometer)를 사용한 길이측정 ( 6Pages )
1. 목 적 전위차계(Potensiometer)를 사용한 길이측정을 통하여 그 원리를 이해한다. 2. 이 론 길이 측정이란 계측 수단을 이용하여 미리 정해 놓은 표준과 비교하는 작업이다. 길이 측정을 하는 이유는 작업의 정밀도를 높이기 위함이며, 작업에 따라 필요한 측정 정밀도에 대응하는 계측방법이 필요하다. 이번 실험에서는 전위차계를 이용하여 실습한다. 전위차계란 표준전원과 가변저항을 사용하여 ..
리포트 > 공학/기술 |
 관망해석 ( 3Pages )
1. 프로그램 Source FILENAME = NETWORK.FOR C 이 프로그램은 수리학책 110,111쪽에 있는 표 4-6. 관망해석 프로그램과 C 114쪽에 있는 데이터를 Source로 이용하여 작성하였습니다. 책에나온 Source C 로는 데이터 입력시 에러가 발생하므로 약간의 수정을 하였습니다. 또한 C 인쇄된 Source와 결과는 워드 프로세서를 이용하여 작성했기 때문에 아래의 C 프로그램과 인쇄할때의 프로그램은 약간의 차이..
리포트 > 사회과학 |
사회과학
 [경영학] 사무자동화 기술 ( 8Pages )
사무자동화 기술 1. 사무자동화 기본 기술 [숨은설명:시작] [TBODY] [숨은설명:끝] 소프트웨어 기술 센서 및 인식기술, 음성합성기술, 인공지능기술, 자연언어 처리 기술 ① 인간과 기계의 지적인 인터페이스 ② 고급언어에 의한 프로그램을 사용함으로써 원하는 내용을 간단히 나타낼 수 있음 ③ 가능한 한 방법이 아닌 목적이 이루어지는 소프트웨어 기술 개발이 맨/머신 인터페이스 개선에 가장 중요함 [..
리포트 > 경영/경제 |
 무선설비기사 과년도 필기기출문제(2000년7월2일) ( 14Pages )
2000년 7월 2일자 필기 기출문제 2000년 7월2일 시행-무선설비기사A형 제1과목 : 디지털 전자회로 1. 그림에서 일때 부하 저항 양단에 나타나는 직류출력 전압은 (단, 는 다이오드의 순방향 저항이다.) 가. 나. 다. 라. ★ 2. 이득 60[㏈]의 저주파 증폭기가 10[%]의 왜율을 가지고 있을 때 이것을 0.1[%] 이내로 하는 방식 중 옳은 것은 가. 약 20[㏈]의 부궤환을 걸어준다.★나. 20[㏈]의 정궤환을 걸..
시험/자격증 > 기술/공학 |
 기초 회로 실험 - ttl cmos ( 6Pages )
1. 실험 결과 (1) [그림 13.4]의 회로를 구성하고, VDD(핀 14번)를 +10[V]로 연결하고, 입력 값에 따른 출력을 살펴보고, 또한 +5[V]로 연결하여 살펴보아라. [13.4] 2입력 NOR 게이트 10[V] 인가시 A=0, B=0 일때의 결과값 5 [V] 인가시 A=0, B=0 일때의 결과값 10[V] 인가 5[V] 인가 ABCABC00 10.506 00 5.005 0 10 0.045 05 0.001 10 0 0.034 50 0.054 10 10 0.058 55 0.044 (2) [그림 13.5]의 회로..
리포트 > 공학/기술 |
 플립플롭(Flip-Flop) ( 4Pages )
◎ 플립플롭 대다수 놀리 회로들은 그들의 출력 값들이 현재 상태의 입력뿐만 아니라, 이전 상태의 값에 의해서 그 결과가 결정되는 성격을 갖는다. 이런 성격의 논리 회로들을 순차 회로라고 한다. 순차 회로의 상태는 현재 상태를 결정하기 위해서는 이전 상태의 값들에 대한 모든 정보를 갖고 있어야 한다. 그러므로 순차 회로의 설계에는, 차후의 상태나 현재의 상태를 결정하기 위해 이전 상태의 값..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10