논리회로설계 - 자판기 설계에 대해
리포트 > 공학/기술
논리회로설계 - 자판기 설계에 대해
한글
2013.07.05
6페이지
1. 논리회로설계 - 자판기 설계에 대해.hwp
2. 논리회로설계 - 자판기 설계에 대해.pdf
논리회로설계 - 자판기 설계에 대해
-자판기 설계-

1.설계 목적
자판기설계의 목적은 기본적인 순차논리회로를 해석하고 직접 설계하는 능력을 키우고 이를 바탕으로 간단하고 실생활에 이용되는 순차 논리회로를 디자인하는 것이다. 순차논리회로를 디자인 하기위해선 문제를 정의하고 상태도로 나타낼 수 있어야 하며, 상태도를 바탕으로 상태 표를 완성시키고 ,완성된 상태 표를 바탕으로 카르노 맵을 이용하여 식을 간소화 시켜야 한다. 그 이후 간소화된 식으로 회로를 설계한다.

2.설계 주제
음료가 1개이고 거스름돈이 출력되는 자동판매기를 설계한다.
3.제품 사양
40원짜리 음료를 파는 자판기
ⅰ)10원짜리,50원짜리 동전만을 사용한다.
ⅱ)최대로 넣을 수 있는 금액은 80원이다.
ⅲ)음료는 한번에 1개만 나온다, 만약 2개를 뽑을 수 있는 금액 80원이 들어 있을 경우
음료 1개만 나오고 나머지 40원은 반환한다.
ⅳ)플립플롭은 JK플립플롭을 이용한다.
ⅴ)자판기에서 음료 버튼을 누르지 않아도 적절한 금액이면 음료가 나옴

4.설계 일정 및 변경
12월16일
혼자프로젝트 하기엔 너무 실력이 부족하여, 1학년 대부분이 저희 집에 모여 같이 프로젝트를 진행하였습니다. 프로젝트 진행도중 에로 사항이 너무 많았습니다.
문제1)
단순히 음료를 한 개더 추가하거나 동전을 한 개 추가하게 되면서 변수가 5개 이상으로 변화하여 카르노 맵으로 간소화할 때 어려움이 있었습니다.
문제2)
멀티심 으로 구현할 때 하나의 게이트도 굉장히 여러 종류의 게이트들이 있었기에, 선택의 어려움이 있었습니다.

12월17일
....
디지털회로 설계언어 프로젝트 - 자판기 코딩에.. 전자회로설계 - 자판기 회로 설계 및 제작
디지털 회로 - 자판기 설계 [디지털논리회로] TTL[Transistor Transistor L..
논리회로 설계- 디코더, 인코더에 대해서 순서 논리회로 설계
디지털논리회로 - VHDL을 이용한 inertial dela.. [전기전자회로실험] 디지털 논리 관련 설계자료
순서회로 디지털 논리회로 설계 및 실습 - 논리 프로브 ..
채용공고문(자판기를운영할사업자에대해) 기계자동차공학 실험 - AND, OR, NOT 게이트를 ..
디지털논리회로 실습 보고서 - 논리식의 간소화 디지털논리회로 실습 보고서 - 코드 변환기
 
다이오드와 트랜지스터의원리..
전기전자 공학 - Transistor[..
디지털논리회로 실습 보고서 -..
디지털 회로 - 자판기 설계
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석