디지털공학 설계과제[7-세그먼트]
리포트 > 공학/기술
디지털공학 설계과제[7-세그먼트]
한글
2012.04.12
8페이지
1. 디지털공학 설계과제[7-세그먼트].hwp
2. 디지털공학 설계과제[7-세그먼트].pdf
디지털공학 설계과제[7-세그먼트]
디지털공학 설계과제
7-Segment Indicator

7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.

2. 설계
1) 진리표 작성

입력(BCD)
출력
A B C D
a b c d e f g
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1
1 1 1 1 1 1 0
0 1 1 0 0 0 0
1 1 0 1 1 0 1
1 1 1 1 0 0 1
0 1 1 0 0 1 1
1 0 1 1 0 1 1
0 0 1 1 1 1 1
1 1 1 0 0 0 0
1 1 1 1 1 1 1
1 1 1 0 0 1 1
x x x x x x x
x x x x x x x
x x x x x x x
x x x x x x x
x x x x x x x
x x x x x x x
위 그림에 따라 입력이 A, B, C, D인 7-세그먼트 표시기의 진리표는 다음과 같다.
....
[디지털공학 설계] 7-세그먼트[7-Segment Indic.. 디지털공학 실험 - 수 체계 실험
[정밀기계공학] 마이크로프로세서를 이용한 디.. 디지털 회로 - 자판기 설계
디지털 공학 - 4bit 제곱기 설계 디지털 공학 - 4입력 제곱기
전자공학 - 디지털시계 설계 및 제작 공학설계 - LNA+MIXER에 대해서
[공학설계] WMS 설계, 제작 [VHDL] Digital stop watch[디지털 스톱 워치] ..
온도 센서를 이용한 7세그먼트출력 555 타이머를 이용한 10진 업 카운터 설계
논리회로 실험 - 555 타이머를 이용한 10진 업.. [마이크로컴퓨터] 7-세이그먼트 실험
 
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석