논리회로 설계- 디코더, 인코더에 대해서
리포트 > 공학/기술
논리회로 설계- 디코더, 인코더에 대해서
한글
2012.04.12
6페이지
1. 논리회로 설계- 디코더, 인코더에 대해서.hwp
2. 논리회로 설계- 디코더, 인코더에 대해서.pdf
논리회로 설계- 디코더, 인코더에 대해서
1. 개 요
○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습
○ TEST bench, simulation 방법 이해

2. 문 제
(1) 3*8 Decoder
-Behavioral modeling

library ieee;
use ieee.std_logic_1164.all;

entity decoder is
port (x : in std_logic_vector(2 downto 0);
d : out std_logic_vector(7 downto 0));
end decoder;

architecture behavioral of decoder is
begin
process (x)
begin
case x is
when 000 =] d [= 10000000 ;
when 001 =] d [= 01000000 ;
when 010 =] d [= 00100000 ;
when 011 =] d [= 00010000 ;
when 100 =] d [= 00001000 ;
when 101 =] d [= 00000100 ;
when 110 =] d [= 00000010 ;
when others =] d [= 00000001 ;
end case;
end process;
end behavioral;

-Data flow modeling

library ieee;
use ieee.std_logic_1164.all;

entity decoder_dataflow is
port( x: in std_logic_vector(2 downto 0);
d: out std_logic_vector(7 downto 0):= 00000000 );
end decoder_dataflow;

....
디지털논리회로 실습 보고서 - 인코더와 디코더 논리회로 설계 - 디코더 인코어 보고서
GATE 논리회로 [전기전자회로실험] 디지털 논리 관련 설계자료
논리회로설계 - 자판기 설계에 대해 논리회로 실험 - 555 타이머를 이용한 10진 업..
컴퓨터에대한제어함수 마이크로프로세서 레포트
디지털회로 설계언어 프로젝트 - 자판기 코딩에.. 순서 논리회로 설계
순서회로 (컴퓨터의 이해/1학년공통)마이크로프로세서의 ..
555 타이머를 이용한 10진 업 카운터 설계 최신 디지털 공학 - 사거리 신호등 시스템
 
전기전자 - 부울 대수 개념
기본 논리학습
전기전자공학 - 기본논리 게이트
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석