전체 (검색결과 약 5,849개)

 3 사이리스터 정류기/인버터 ( 10Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 3 사이리스터 정류기/인버터에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 3 3펄스 정류기 나. 정류기와 인버터 모드 3. 실험 요약 4. 실험 순서 가. 3 3펄스정류기 나. 정류기와 인버터 모드 나. 정류기와 인버터 모드 산업분야에 이용되..
리포트 > 공학/기술 |
3상 사이리스터 정류기 인버터, 3상 3펄스 정류기, 정류기와 인버터 모드
 다이오드 3 정류기 ( 10Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 다이오드 3 정류기에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 3 3펄스 정류기 나. 3 6펄스 정류기 3. 실험 요약 4. 실험 순서 가. 3 3펄스 정류기 나. 3 6펄스 정류기 가. 3 3펄스 정류기 3 정류기는 단 정류기에 비해 몇가지..
리포트 > 공학/기술 |
3상3펄스정류기, 3상6펄스정류기
 AC 위제어 ( 13Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 AC 위제어에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 전파위제어(Full-wave Phase Control) 나. 반파 위제어 : 수동부하에 공급하는 제어정류기 3. 실험 요약 4. 실험 순서 가. 전파위제어 나. 반파위제어 다. 수동부하(Passiv..
리포트 > 공학/기술 |
AC 위상제어, 전파위상제어(Full-wave Phase Control), 반파 위상제어, 수동부하(Passive Load)에 공급하는 제어 정류기
  다이오드 정류기(2) ( 8Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단 다이오드 정류기(2)에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 단 브리지정류기 나. 2 반파정류기(Two-phase half-wave rectifier) 3. 실험 요약 4. 실험 순서 가. 단 브리지 정류기 나. 변압기 중성탭을 이용하여 두 개의 다이오드를 사..
리포트 > 공학/기술 |
단상 다이오드 정류기, 단상 브리지정류기, 2상 반파정류기, Two-phase half-wave rectifier
 쵸퍼/인버터 제어장치의 사용법 (인버터모드) ( 10Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 쵸퍼/인버터 제어장치의 사용법 (인버터모드)에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 180° -변조 3 인버터 MODE(3 ~ 180°) 나. 120°-변조 3 인버터 MODE( 3~120°) 다. 제어 출력(CONTROL OUTPUTS)부 라. 직류전원 1 (DC SOURCE 1)과 직류전..
리포트 > 공학/기술 |
쵸퍼/인버터 제어장치의 사용법 (인버터모드), 180° -변조 3상 인버터 MODE(3 ~ 180°), 120°-변조 3상 인버터 MODE( 3~120°), 제어 출력(CONTROL OUTPUTS)부, 직류전원 1 (DC SOURCE 1)과 직류전원 2 (DC SOURCE 2), PWM-제어 쵸퍼 모드(CHOP.PWM)
 사이리스터 단브리지 정류기/인버터 ( 14Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 사이리스터 단브리지 정류기/인버터에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 사이리스터 단브리지 나. 두 개의 사이리스터와 두 개의 다이오드를 갖는 브리지 정류기 다. 정류기와 인버터 모드 3. 실험 요약 4. 실험 순서 가. 수동부..
리포트 > 공학/기술 |
사이리스터 단상브리지, 사이리스터 단상브리지 정류기/인버터, 정류기와 인버터 모드
 무선설비산업기사 과년도 필기기출문제(2000년10월1일) ( 4Pages )
2000년 10월 1일 필기 기출문제 제6회 국가기술검정시험 무선설비산업기사 (시행 : 2000년 10월 1일) 제 1과목 : 디지털 전자회로 1. 입력 진폭 변조파의 전압의 크기에 따라 직선검파 또는 자승검파를 옳게 사용한 것은 ① 변조파의 전압이 작을때는 직선검파, 클때는 자승검파 ● 변조파의 전압이 클때는 직선검파, 작을때는 자승검파 ③ 변조파 전압의 크기와는 관없이 사용 ④ 경우에 따라 다르..
시험/자격증 > 기술/공학 |
  다이오드 정류기(1) ( 10Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단 다이오드 정류기(1)에 대해 세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 다이오드의 동작특성 나. 반파정류기(Half-wave Rectifier) 다. 환류다이오드를 갖는 정류기 3. 실험 요약 4. 실험 순서 가. 전력다이오드의 특성곡선 나. 반파 정류회로 ..
리포트 > 공학/기술 |
다이오드 동작특성, 전력다이오드 특성곡선, 반파정류기, 환류다이오드를 갖는 정류기
 국내 정류기의 시장현황[2005년까지:PDF] ( 4Pages )
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 국내 정류기에 대한 시장현황 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서는 국내 2002년부터 2005년까지 국내 정류기의 사업체수(개소), 생산액(백만원), 출하액(백만원)이 기술되어 있습니다. 통계 중심으로 작성되어 있으며, <밸류애드 시장현황(2005년) [견본]>이 무료로 ..
비지니스 > 경제동향 |
 전자회로 실험 - 반파정류기와 전파정류기의 출력파형을 관찰하고 측정 ( 4Pages )
◈ 실험목적 1. 반파정류기의 출력파형을 관찰하고 측정한다. 2. 전파정류기의 출력파형을 관찰하고 측정한다. ◈ 실험재료 오실로스코프 저항 : 1k 1/2W 전원변압기 : 110V/6.3V, 12.6V 실리콘다이오드 : 1N5625*4 스위치 ◈ 이론 전자해설에서 능동소자가 동작하기 위해서는 직류전원을 능동소자에 적절히 인가해야 한다. 직류전류는 한쪽 방향으로 흐르므로 반도체 다이오드를 이용하면 교류를 직류로 바..
리포트 > 자연과학 |
 국내 정류기 시장통계(2008년까지) ( 11Pages )
국내 정류기 시장통계(2008년까지) 자료 입니다.(사업체수, 생산액, 출하액 포함) * 시장통계 목차 * 1. 산업 동향 가. 조사범위 나. 제조업 동향(2008년만) 다. 위 산업통계(2006년부터 2008년까지) 2. 시장 동향 가. 연간 시장통계(2008년까지) - 사업체수, 생산액(백만원), 출하액(백만원) 나. 지역별 현황 분석 본 제공 자료는 국내 2008년까지 정류기의 시장통계 자료입니다. 시장..
비지니스 > 경제동향 |
품목의 시장통계, 사업체수, 생산액(백만원), 출하액(백만원), valueadd, ㈜밸류애드
 [전자회로 실험] 정류기 설계(Regulator 설계) ( 3Pages )
실험명 Regulator 설계 1. 실험 목적 다이오드의 여러 가지 특성을 이용하여 정현파(sine wave)를 7~10V DC전압으로 만들려고 한다. 다음과정에 의해 과제를 수행해 보시오. 2. 실험 방법 (1) Full Wave Rectifier 회로를 이용하여 10V의 정현파를 양(Positive)의 반쪽(Half)의 sine파를 만들어보라. 이때 Full Wave Rectifier는 4개의 다이오드가 이용되는 Bridge 형태의 Rectifier를 이용하시오. -..
리포트 > 공학/기술 |
 전자회로실험 - 다이오드 정류회로 ( 20Pages )
전 자 회 로 실 험 다이오드 정류회로 이 실험의 목적은 세 가지 다른 형태의 다이오드 정류회로, 즉 반파정류기, 중간탭 변압기를 사용한 전파정류기, 브리지형 전파정류기의 특성을 관찰하는 것이다. 목적 및 배경 회로의 전력 공급을 위해 교류 전원으로부터 직류 전원을 얻는 방법이 널리 사용되고 있으며 이를 정류기라 한다. 이 론 p-n 접합의 명백한 성질은 그의 단일방향성(unilateral)이다. ..
리포트 > 자연과학 |
 Diode 특성 곡선 및 LED 구동 ( 5Pages )
Diode 특성 곡선 및 LED 구동 ⒈ 목적 다이오드의 극성에 대해 알아보고 특성곡선을 이해하고 이것에 대한 실험을 하여 알아본다. 2. 이론 반도체의 기본적인 요소. 단자의 한쪽 방향을 애노드(양극), 다른 한쪽 방향을 캐소드(음극)라고 부르며, 전류는 애노드에서 캐소드 방향으로만 흐른다. 이와 같은 성질은 정류 회로 등에 이용된다. 또, 애노드→캐소드로 전류가 흐르는 경우에도 조건이 있어 애노드·..
리포트 > 공학/기술 |
 광전자 소자 ( 8Pages )
광전자 소자 광전자 소자 1. 실험 목적 - 적색과 녹색 LED의 특성을 측정한다. - 7세그먼트로 숫자를 나타낸다. - 광커플러(optocoupler)를 통해 신호를 전달한다. 2. 관련이론 발광 다이오드 (a) 순방향 바이어스된 LED (b) 역방향 바이어스로부터 LED를 보호하기 위한 회로 - 발광 다이오드(LED)는 고체 광원이다 낮은 전압과 긴 수명, 빠른 on‐off 스위칭의 장점을 갖기 때문에 백열광 대신 ..
리포트 > 자연과학 |
1 2 3 4 5 6 7 8 9 10