전체 (검색결과 약 277개 중 9페이지)

 영문 대리점계약서(distributorship agreement) ( 12Pages )
DISTRIBUTORSHIP AGREEMENT This Agreement, made and entered into this first day of July, 1996 by and between [X Inc,.]a corporation duly organized and existing under the laws of the Republic of Korea and having its principal office at 159, Samsungdong, Ka- ngnam-ku, Seoul, The Republic of Korea (hereinafter referred to as Manu- facturer) and [Y Co.,Ltd.],a corporati..
서식 > 계약서 |
 외식업의선택요인에대한부정적구전커뮤니케이션과구매행동과의관계 ( 13Pages )
외식업의 선택요인에 대한 부정적 구전커뮤니케이션과 구매행동과의 관계 Relation between Negative Word-of-Mouth Communication on Choice of Restaurant and Purchasing Behavior in the Customer of Food Service 이정실*․최순희**1) Lee, Joung-Sil․Choi, Soon-Hee Abstract Lots of consumers today would like to collect information prior their purchasing behavior and, in fact, they obtai..
리포트 > 사회과학 |
 고객관계관리(CRM)의 개념과 ERP와의 관계, 프로세스와 목적관점의 CRM 및 e-CRM ( 8Pages )
고객관계관리(CRM)의 개념과 ERP와의 관계, 프로세스와 목적관점의 CRM 및 e-CRM 목차 * 고객관계관리(CRM) Ⅰ. CRM의 개념 및 ERP와의 관계 Ⅱ. 프로세스 관점의 CRM Ⅲ. 목적 관점의 CRM Ⅳ. e-CRM 고객관계관리 I. CRM의 개념 및 ERP와의 관계 기업은 점차 가치사슬과 공급사슬 내의 비즈니스 파트너 및 고객과 창 조적으로 협력해서 제품원가와 사이클 타임을 줄이며, 고객에게 보다 정 확한..
리포트 > 경영/경제 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 바닥복사_난방시스템의_열유동_특성_해석에 ( 8Pages )
바닥복사 난방시스템의 열유동 특성 해석에 관한 연구 A Study on Heat Flow Dynamic Analysis for Floor Radiant Heating System Ahn, Byung-Cheon Song, Jae-Yeob 안병천* 송재엽** ……… A simulation and experimental study for energy flow dynamics of floor radiant heating system were performed. The study was done under both environmental chamber and a house with several rooms. The uns..
정보/기술 > 토목/건축 |
 거래관계제휴요청서신 ( 2Pages )
거래관계 제휴 요청서신 Dear Sirs, Date: April 12, 2000 Re: Training Suits. With reference to the discussions we had with Mr. Kim of your Amsterdam office, the essence of which will have been known to you already, we have pleasure in sending you herewith a formal order sheet for 50,000 sets of training suits. Next to the particulars given we have to make several other r..
서식 > 회사서식 |
 [환어음과 선적서류] 환어음의과 선적서류의 개념 ( 7Pages )
[환어음과 선적서류] 환어음의과 선적서류의 개념 목차 환어음과 선적서류 Ⅰ. 환어음 1. 환어음의 의의 2. 환어음의 당사자 Ⅱ.선적서류 1. 상업송장 1) 개념 2) 종류 2. 운송서류 1) 선하증권 2) 복합운송증권 3) 항공화물운송장 4) 보험서류 5) 기타 서류 환어음과 선적서류 1. 환어음 (1) 환어음의 의의 환어음(draft, bill of exchange)이란 어음발행인(drawer)이 지급인(drawee)인 제3자로 하..
리포트 > 경영/경제 |
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
 팬택,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 31Pages )
CONTENTS Part 1 Company Overview Part 2 Reason why PANTECH faced ‘workout’ 2 factors of failure Common strategy Part 3 Reason they choose the strategy External factor Internal factor Conclusion Managerial Implication Company overview WHAT IS PANTECH History of PANTECH 1991 Pantech Co. LTD Established 2001 Acquired Hyundai Curitel 2005 Acquired SK Teletech Expanded ..
리포트 > 경영/경제 |
 독립유공자예우법률_ ( 22Pages )
정부자료입니다. ACT ON THE HONORABLE TREATMENT OF PERSONS OF DISTINGUISHED SERVICES TO INDEPENDENCE Act No. 4856, Dec. 31, 1994 Amended by Act No. 5146, Dec. 30, 1995 Act No. 5291, Jan. 13, 1997 Act No. 6338, Dec. 30, 2000 Act No. 6372, Jan. 16, 2001 Act No. 6646, Jan. 26, 2002 Act No. 6836, Dec. 30, 2002 Act No. 7104, Jan. 20, 2004 CHAPTER Ⅰ GENERAL PROV..
서식 > 법률서식 |
무료자료, 정부자료
 독립유공자예우법률 ( 22Pages )
정부자료입니다. ACT ON THE HONORABLE TREATMENT OF PERSONS OF DISTINGUISHED SERVICES TO INDEPENDENCE Act No. 4856, Dec. 31, 1994 Amended by Act No. 5146, Dec. 30, 1995 Act No. 5291, Jan. 13, 1997 Act No. 6338, Dec. 30, 2000 Act No. 6372, Jan. 16, 2001 Act No. 6646, Jan. 26, 2002 Act No. 6836, Dec. 30, 2002 Act No. 7104, Jan. 20, 2004 CHAPTER Ⅰ GENERAL PROVISIONS Arti..
정보/기술 > BM/법률 |
무료자료, 정부자료
 [컴퓨터공학] 트랜잭션 처리 프로그램 ( 3Pages )
트랜잭션 처리 프로그램 1. 프로젝트 설명 스키마(schema)파일에 저장된 데이터 타입을 순서대로 읽으면서 데이터(data)파일의 내용을 출력하는데, 트랜잭션(tran)파일에서 데이터 파일을 수정해주는 작업을 수행한다. 트랜잭션파일에는 데이터를 추가하고 삭제하는 내용이 들어있다. 데이터를 새로 추가할 때에도 스키마파일에 저장된 데이터 타입을 알려주는 데이터들이 저장된 순서대로 “out.txt” 파..
리포트 > 공학/기술 |
 [전자공학실험] AVR Training Board-I(영어로) ( 12Pages )
[전자공학실험] AVR Training Board-I 1. Title AVR Training Board-I 2. Name 3. Abstract How to use Port, Pin 4. Background AVR (Advanced Virtual RISC) 1. Consists of RISC structure based microcontroller 2. Low power 8 bit or 32 bits microcontroller 3. Works quickly and the orderings are simple. 4. Cheap in price and easy to apply so are used widely in industrial market. 5...
리포트 > 공학/기술 |
 임가공계약서 ( 2Pages )
임가공 계약서 위탁자 수탁자 (갑) (을) 위 『갑』과 『을』 당사자간에 다음과 같이 제품의 생산을 위해 임가공 체결한다. 제1조【계약 목적】 본 계약은『갑』이 『을』에게 임가공을 위탁함에 있어 『갑』·『을』쌍방의 준수사항을 약정함을 목적으로 한다. 제2조【임가공 품목 등】 임가공 위탁 품목 및 규정, 수량, 단가, 작업조건 등은 사전 쌍방합의로 작성한 작업 지도서에 준한다. 제3조【..
서식 > 계약서 |
 임가공기본계약서(1면) ( 1Pages )
갑이 을에게 임가공을 위탁함에 있어 갑, 을간의 준수사항을 적은 기본계약서(1면)입니다. 임가공기본계약서 위탁자(갑) : 수탁자(을) : 위갑·을 당사간에 다음과 같이 임가공 기본계약을 체결한다. 제1조본 계약은 갑이 을에게 임가공을 위탁함에 있어 갑·을 쌍방의 준수사항을 약정함을 목 적으로 한다. 제2조 임가공 위탁품목 및 규정, 수량, 단가, 작업조건 등은 사전 쌍방 합의로 작성한 작업지 도..
서식 > 계약서 |
계약기간, 제품인수, 자재, 품질관리, 대금결재
 경영혁신 - 공급사슬관리scm의 성공적 구축 방안 ( 11Pages )
공급사슬관리의 성공적 구축 방안 목 차 1. SCM의 중요성과 추진효과   1-1. SCM의 중요성 1-2. SCM의 추진효과 2. SCM 성공사례 - 포드 자동차의 성공사례   2-1. 포드사의 SCM추진   2-2. 생산효율성 향상 - FBS 구축   2-3. 원활한 물류관리 - OTD(order to delivery)프로젝트 추진   2-4. 물류 시스템 강화   2-5. 향후 포드사의 방향 3. 3PL사와 SCM 4. 3PL社 글로벌 SCM 사례   4-1..
리포트 > 경영/경제 |
 첨단 IT로 무장한 7-ELEVEN[세븐 일레븐]의 성공 ( 14Pages )
첨단 IT로 무장한 7-ELEVEN의 성공 목 차 Ⅰ. 소형 유통산업의 특성 Ⅱ. 똘똘한 세븐일레븐재팬, 어떻게 시장 평정했나 1. 하루 세 번, 정교한 배송시스템 2. 정확한 Data에 기반한 남다른 신선도(freshness)로 브랜드 이미지까지 업그레이드 Ⅲ. 전세계를 감탄시킨 세븐일레븐재팬 : 시작부터 지금까지 Ⅳ. 1978년 7월 정보 무장화의 여명기 1. 터미널 세븐 발주방식 2. 가맹점 종합정보 시스템 3. ..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10