전체 (검색결과 약 6,732개 중 4페이지)

 하나투어,하나투어기업분석,하나투어재무분석,재무분석사례,여행사분석,여행사마케팅,영문재무분석,영어재무분석 ( 15Pages )
Contents Ⅰ. Introduction - Introduce of Hana Tour - Financial Statement Ⅱ. 5 Ratios 1. Debt Ratio - Comparison with Mode Tour - Comparison with Industry Average 2. Total Assets Turnover Ratio - Comparison with Mode Tour - Comparison with Industry Average 3. Return on Equity(ROE) - Comparison with Mode Tour - Comparison with Industry Average 4. Current Ratio - ..
리포트 > 경영/경제 |
 마이크로프로세서응용 실험 - 프로그램이 가능한 타이머(PIT) ( 13Pages )
1. 제목 - Lab8. 프로그램이 가능한 타이머(PIT) 2. 목적 - 프로그래밍이 가능한 타이머(PIT: Programmable Interval Timer)의 동작과 사용 방법 이해한다. - PIT의 여섯 종류의 동작 modes를 살펴보고 각 mode 사이에 어떤 차이가 있는지 살펴본다. - PIT를 카운터(counter) 기능으로 사용할 경우의 동작을 이해한다. - PIT의 시스템(KIT) 내에서의 연결 관계를 이해한다. 3. 실험결과 및 분석 [St..
리포트 > 공학/기술 |
 외팔보의 진동모드 및 공진실험 ( 30Pages )
MECHANICAL EXPERIMENT 외팔보의 진동모드 및 공진실험 Prof : Experiment date : Due date : 실험 조 : 외팔보의 진동모드 및 공진실험 학부 실험 일자 공동 실험자 학년 조 [ C O N T E N T S ] Ⅰ. 서 론 1. 개요 및 실험 목적 [ 3 ] 1-1. 개요 1-2. 실험 목적 2. 이론전개 및 이론적 결과 해석 [ 4 ] 2-1. 진동수 (frequency) 2-2. 고유 진동수 (eigen frequency) 2-3. 진동 모드 (vib..
리포트 > 공학/기술 |
 무선인터넷 사업의 모든 것 ( 39Pages )
-무선 인터넷의 개념 -왜 무선인터넷 사업을 주목해야 하는가? -기존의 유선인터넷과 무선 인터넷의 비교 -브라우저(휴대폰) 및 솔루션의 종류 -세계 통신 시장 조사 자료 -일본 NTT 도코모 소개(i-mode) -국내 무선 인터넷 동향(시장 조사자료 포함) -세부 산업별 투자의견과 전망
정보/기술 > 정보통신 |
무선, 인터넷
 [실험레포트] TFT 공정 ( 6Pages )
목차 서론 본론 결론 실험목적 실험 이론 Auto CAD Photolithography Process Cell Process 실험결과 및 고찰 서론 ▶ 실험 목적 Auto CAD 프로그램으로 자신이 직접 디자인한 Mask를 그려본다. 장비를 이용하여 포통공정과 셀공정에 대해 배우고, 직접 장비를 사용하여 익혀본다. ▶ 실험 이론 우리가 실험에서 쓰인 TN N/W mode에 대해서 간단히 설명하였다. ▸ Cell 구조 ▸ TN LCD 동작 원리 초기 분..
리포트 > 자연과학 |
 [디지털변조] QAM (quadrature amplitude modulation) - 직교진폭변조에 관해 ( 7Pages )
QAM (quadrature amplitude modulation) Contents QAM 이란 1 이론 2 Simulink를 통한 설계 3 분석 및 결론 4 QAM QAM은 디지털 신호를 일정량만큼 분류하여 반송파 신호와 위을 변화시키면서 변조시키는 방법이다. 802.11b무선 이더넷(Wi-Fi) 및 DVB(Digital Video Broadcast)와 같은 최신 프로토콜은 64-QAM 변조를 사용한다. 또한 WiMAX, 802.11n 및 HSDPA/HSUPA(새로운 핸드폰 데이터 표준) M진 Q..
리포트 > 공학/기술 |
 [통계학] 기초통계 ( 24Pages )
기초통계 2장 기초통계 1. 백분위와 백분점수 2. 집중경향 2. 집중경향 (1)평균값(mean) 2. 집중경향 (2)중앙값(median) 2. 집중경향 (3)최빈값(mode) 평균, 중앙값, 최빈값의 비교 정적편포와 부적편포의 비교 3. 분산도(산포도) 3. 분산도(산포도) 산포의 측도 개요 3. 분산도(산포도) 두 집단의 평균은 같으나 분산도가 다른 경우 3. 분산도(산포도) 3. 분산도(산포도) ....
리포트 > 자연과학 |
 [가치관의 개념] 가치관의 의의와 특성 ( 3Pages )
[가치관의 개념] 가치관의 의의와 특성 I. 가치관의 의의 가치관은 개인의 행동양식을 결정지어 주는 역할을 하는 그 무엇에 대하여 개인이 부여하는 확신 또는 신념(conviction)을 말한다. 따라서 가치관은 여러 가지의 행동 대안(behavior alternatives)이다 여러 가지의 가능한 태 중에서 어느 것이 더 좋고 어느 것이 더 나쁘다거나 또는 어느 것이 더 올바르고 어느 것이 더 그르다는 개인의 규범..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 오실로스코프를 이용한 리사주 도형 만들기 ( 15Pages )
오실로스코프(Oscilloscope)의 사용법을 익히고 이를 이용하여 리사주 도형을 만들어 본다. 1. 실험 목적 오실로스코프를 이용한 리사주 도형 만들기 2. 실험 기구 Function Generator (함수발생기) Oscilloscope 오실로스코프 Probe (프로브) Oscilloscope 란 시간에 따른 전압신호의 변화를 화면에 표시해 주는 장치 함수발생기(Function Generator)는 낮은 레벨의 다양한 교류(정현파. 삼각파, 구..
리포트 > 공학/기술 |
 실험보고서 - 단진동 운동 ( 4Pages )
1. 목적 단진자의 주기와 길이, 주기와 질량, 주기와 각도와의 관계를 알아보고 중력가속도의 값을 측정한다. 2. 원리 그림과 같이 질량을 무시할 수 있는 길이 인 끈에 질량 인 추가 매달려 주기운동을 하는 것을 단진자라고 하고 이때의 운동을 단진동 운동이라고 한다. 그림을 보면 알 수 있듯이 추가 되돌아가려는 힘 는 (1) 이다. 이때 는 단진자가 Z축과 이루는 각도이다. 만일, 진폭이 작아서 ..
리포트 > 자연과학 |
 일반물리 실험보고서 - 단진자 운동 실험 ( 4Pages )
1. 목적 단진자의 주기와 길이, 주기와 질량, 주기와 각도와의 관계를 알아보고 중력가속도의 값을 측정한다. 2. 원리 그림과 같이 질량을 무시할 수 있는 길이 인 끈에 질량 인 추가 매달려 주기운동을 하는 것을 단진자라고 하고 이때의 운동을 단진동 운동이라고 한다. 그림을 보면 알 수 있듯이 추가 되돌아가려는 힘 는 (1) 이다. 이때 는 단진자가 Z축과 이루는 각도이다. 만일, 진폭이 작아서 ..
리포트 > 자연과학 |
 [전기전자실험] BJT소자 ( 9Pages )
실험 4 BJT 요약 - 새롭게 접하는 BJT소자에 대해 알아본다. BJT를 이용한 Monostable Multivibrator 와 Schmitt trigger 회로를 분석하며 BJT소자의 특성에 대해 알고 실제 회로에서 응용할 수 있는 방법을 알아본다. Ⅰ. 실험의 필요성 및 배경 BJT소자의 특성에 관해 알아보고 스위치와 증폭기로써의 기능을 살펴본다. 또한 BJT의 세 가지 Operating mode에 대해 특징들이 알아본다. Ⅱ. 실험에 필요한 ..
리포트 > 공학/기술 |
 집중경향 ( 6Pages )
1. 집중경향 집중경향은 한 집단의 “대표적인 경향”을 나타낸다. “이 학급의 산수성적이 어떠냐”라는 질문을 받았을 때, 예컨대 60명의 학생들의 성적을 일일이 다 불러준다는 것은 참으로 어리석고 비경제적인 대답이다. 그런 대답 대신에 우리는 60명의 한 학급의 성적을 공정하게 요약하고 대표할 수 있는 하나의 수치를 말할 수 있기를 바란다. 이런 목적을 위해서 사용되는 통계적 방법이 바로 집중..
리포트 > 사회과학 |
사회과학
 [디지털 회로설계] VHDL을 통한 Gray Code 설계 ( 6Pages )
디지털 회로설계 1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다. 2) 방법 : [Gray code 식 유도] (1) 2진수를 입력을 갖고 output이 Gray code가 되게, karnaugh map을 이용하여 minimization한다. [VHDL code 작성] (2) QuartusII의 VHDL 언어를 이용하여..
리포트 > 공학/기술 |
 마케팅사례분석진로의일본시장진출마케팅전략 ( 28Pages )
眞露의 일본시장 진출 마케팅 사례분석 낮술 한잔 하실래요 INDEX SWOT분석을 통한 진로의 일본시장 진출배경 STMP 분석 4P 마케팅 믹스 분석 토론 해외시장 진출배경 제2차 오일쇼크로 인한 국내 장기불황 국내시장의 포화태 정부의 주류가격 통제정책으로 이익의 제한 해외시장 개척 일본 시장 진출 이유 왜 일본 진출의 결과 일본 경제의 침체 가격파괴 산업내..
리포트 > 경영/경제 |
마케팅사례분석, 마케팅사례, 진로의일본시장진출마케팅전략, 해외진출마케팅성공사례, 해외진출기업성공사례
1 2 3 4 5 6 7 8 9 10