전체 (검색결과 약 9,130개 중 2페이지)

 디지털 신호처리[Digital Signal Processing]에 대해서 ( 36Pages )
디지털신호처리 Digital Signal Processing Contents 아날로그 신호와 디지털 신호 Why Digital Signal Processing 디지털 신호처리의 특징 디지털 신호처리의 목적 디지털 신호처리 시스템의 구성 디지털 신호처리의 응용 분야 디지털 신호처리의 발전과 현황 Digital Signal Processor 1. 아날로그 신호와 디지털 신호 아날로그( Analog ) 신호의 정의 수량을 연속적인 물리 량( 각도, 길이, ..
리포트 > 공학/기술 |
 디지털오디오포맷 ( 51Pages )
디지털 오디오 포맷 ■ 디지털 오디오(Digital Audio) ■ 정의 아날로그 오디오(analog audio) A/D(analog-to-digital) 변환기를 통하여 1초에 표본화 주파수만큼 샘플링하여 양자화 비트 수에 해당하는 0과 1이라는 디지털 숫자로 변환하여 디지털 필터링(digital filtering) 기술로 음장 제어나 변조, 합성, 분리 등을 하기도 하고, 오류 정정, 검출을 할 수 있는 부호를 부가하여 기록하고 재생시에는 ..
리포트 > 공학/기술 |
공학, 기술
 [시스템경쟁] 기업전략 - 시스템 경쟁 ( 9Pages )
[시스템경쟁] 기업전략 - 시스템 경쟁 목차 시스템 경쟁 Ⅰ. 개요 Ⅱ. 시스템 호환성 Ⅲ. 시스템 경쟁 Ⅳ. 시장 지배력의 활용 Ⅴ. 모듈화 생산 1. 모듈화의 이점 2. 모듈화의 필요조건 1) 모듈 간 상호 작용 규칙 2) 모듈 내부 동작 원리의 정의 시스템 경쟁 I. 개요 기술 발전은 개별 디지털 기기들을 통합할 수 있는 기술적 가능성을 열어주었고 이러한 결과로 현재 사용하는 많은 디지털 기기..
리포트 > 경영/경제 |
개념 정의, 특성 특징 중요성, 문제점해결방안, 영향요인, 개선과제
 디지털시스템 분석 ( 34Pages )
〇〇〇 디 지 탈 공 학 1.디지탈시스템의 개요 digital : 주어진 값을 수치에 의해서 표현하는 것 system : 시스템이란 다른 종류의 기기가 조합되어 구성된 것 (계산기, 교환기, 자동화된 공장 전체, 전국적 전화 교환 조직) 시스템 공학(system engineering) 최초의 산업 발달의 원동력이 되는 전력. 철도등의 거대한 시스템을 만듬과 동시에 그들의 기능을 어떻게 효과적으로..
리포트 > 공학/기술 |
공학, 기술
 디지털 시스템 설계 및 실험 - 4bit Adder Subtractor ( 3Pages )
디지털 시스템 설계 및 실험 결과보고서 실험제목 ①4bit Adder Subtractor 실험목표 ①Half adder 와 Full-adder를 구성한다. ②Half adder 와 Full-adder를 이용하여 4bit Adder Subtractor를 코딩한다. 실험결과 4bit adder 코딩과 시뮬레이션 0100+1000=1100 0011+1000=1011 ....
리포트 > 공학/기술 |
 VOD시스템 디지털 비디오방 제안서 ( 15Pages )
사업계획서(VOD 시스템을 이용한 디지털 비디오방) 사업개요 디지털 기술의 발달로 보다 선명한 화면과 음질로 영화 보기를 원하는 수요 발생 최근 비디오방 대신에 DVD방과 같은 디지털 기기에 의한 선명한 화질과 쾌적한 장소 제공을 하는 곳이 고객을 유치하기 쉬움 본 시스템은 VOD(Video On Demand) 방식에 의한 DVD 수준의 화질과 음질의 영화 감상이 가능 서버에서 네트웍을 통해 영화 컨텐츠를 제공..
비지니스 > 제안서 |
 통신 시스템 - Matlab Simulink를 이용한 디지털 변복조 시스템 시뮬레이터 구현 및 성능 분석 ( 12Pages )
Matlab Simulink를 이용한 디지털 변복조 시스템 시뮬레이터 구현 및 성능 분석 Contents 1 First 2 Second 3 Third 4 Fourth Basic of ASK ASK (Amplitude Shift Key) FSK(Frequency Shift Key) PSK(Phase Shift Key) 향후 연구계획 1 First (1) Basic of ASK message → Amplitude:1 / Frequency:1 / Waveform: sine Carrier → Amplitude:1 / Frequency:20 / Waveform: sine Constant value → 2 Samp..
리포트 > 공학/기술 |
 [디지털 시스템 설계 및 실험] 4bit ripple counter ( 3Pages )
디지털 시스템 설계 및 실험 결과보고서 실험제목 Counter 실험목표 1. JK 플립플롭 제작 2. 4bit Ripple Counter 제작 실험결과 1. JK 플립플롭 제작 코딩module jk(J,K,C,Q,Q_); input J,K,C; output Q,Q_; wire J1,NK,K1,D; wire NC; not (NC,C); dppr dppr0(D,NC,Q,Q_); and (J1,J,Q_); not (NK,K); and(K1,NK,Q); or (D,J1,K1); endmodule 시뮬레이션 C가 네이티프 엣지 일 때 J,K에 따라 Q,Q_의 ..
리포트 > 공학/기술 |
 [디지털 시스템 설계] 디지털 시계 최종 보고서 ( 9Pages )
디지털 시계 최종 보고서 목차 1. 연구 소개 2. 개발 내용 3. 개발 일정 4. 세부 동작 5. 문제점 및 발전 방향 첨부 : Source 파일 1. 연구 소개 - 디지털 논리 회로를 이용하여 디지털 시계를 구현 2. 개발 내용 - 보드에 내장된 16Mhz를 1초 분주 - 주어진 7SEGMENT 4자리 시간, 분 표시 - 셋팅으로 시간 설정 가능 3. 개발 일정 날짜 ~ 12.20 내용 코딩 및 디버깅 4. 세부 동작 (1) 기..
리포트 > 공학/기술 |
 [디지털 시스템 설계 및 실험] Latch, Flip-Flop, Shift Register ( 3Pages )
디지털 시스템 설계 및 실험 결과보고서 실험제목 Latch, Flip-Flop, Shift Register 실험목표 1. SR NOR latch 2. Gated D latch(based on an SR NOR latch) 3. (Masterslave pulse-triggered) D flip-flop (with reset) 4. 4-bit shift register(using 4 D flip-flops) 실험결과 1. SR NOR latch 코딩 module (S,R,Q,Q_); input S,R; output Q,Q_; nor (Q,R,Q_); nor (Q_,S,Q); endmodule 시뮬레이..
리포트 > 공학/기술 |
 디지털경영체제 성공사례_만* ( 22Pages )
디지털 경영 정보 시스템의 성공사례를 구체적으로 기술한 문서
비지니스 > 사례분석 |
 [디지털설계 ] Unigraphics 기반사 출금형 설계 전공 CAD 시스템의 개발 ( 4Pages )
Unigraphics 기반 사출금형설계전공 CAD 시스템의 개발 1.서론 ....
리포트 > 공학/기술 |
 디지털 시스템 설계 및 실험 - Decorder, Encoder, Multiplexer ( 7Pages )
디지털 시스템 설계 및 실험 결과보고서 실험제목 Decorder, Encoder, Multiplexer 실험목표 Decoder : 2-to-4 line decoder 구현 2-to-4 line decoder를 이용하여 3-to-8 구현 3-to-8 2개 이용하여 4-to-16 구현 (시뮬레이션에선 4-to-16을, COMBO에서는 3-to-8을 할 예정) Encoder : 16-to-4 encoder 구현 Multiplexer : 2-to-1 MUX 구현 2-to-1 MUX 3개 이용하여 4-to-1 MUX 구현 4-to-1 MUX 4개..
리포트 > 공학/기술 |
 디지털 ID 관리 시스템 ( 9Pages )
Digital ID관리 시스템 company name Digital ID의 정의 Digital ID (Digital Identity) 사이버스페이스 상에서 개인식별을 가능하게 함으로써 개인의 안녕과 이해관계에 영향을 미치는 정보로서 각종 Id와 개인정보 (주민번호, 전자우편주소, 신용카드번호, 역할, 주소, ...)를 포함 Digital ID 관리(Digital Identity Management) 다양한 웹사이트에 산재된 사용자 ID와 개인정보를 보호/ 관리할 수..
리포트 > 공학/기술 |
 [레포트] 디지털 시스템 설계 레포트 ( 10Pages )
디지털 시스템 설계 레포트 FSM 모듈의 설계 및 검증 사양 모듈 fsm은 회로의 동작을 제어하는 유한상태머신이다. 상태 E가 리셋 상태로서 단자 done='1'을 출력하면서 대기하고 있다가 단자 start='1'이 되면 상태 l로 이동하면서 곱셈을 시작하게 된다. 상태 l는 단자 linit='1'을 출력하여 준비된 승수와 피승수를 입력하도록 한다. 다음 상태 c로 무조건 옮겨간다. 상태 C에서 단자 lsb='0'이고 stop..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10