전체 (검색결과 약 464개 중 18페이지)

 국민 기초 생활 보장법에 대한 평가 ( 16Pages )
I. 도입 한국정부는 저소득 개인들에 대한 정부의 책임감에 좀더 초점을 맞추고 포괄적인 사회복지계획으로 지난 40년 동안 자선에 기초를 둔 생계보호프로그램을 개선하여 생산적 복지 개념을 실현하기 위해 노력하고 있다. The government enacted the National Basic Living Security Act as a replacement for the pre-existing Protection of Minimum Living Standards Act in September 1999 and imp..
리포트 > 사회과학 |
 국내 ㈜코메론의 기업분석(2003년까지)[pdf] ( 10Pages )
본 기업분석은 시장조사, 수요예측 전문기관인 ㈜밸류애드에서 제작된 자료로 <2004 기업분석 견본>이 무료로 제공되고 있으니, 충분히 검토후 구매하시기 바랍니다.[NL][NL]1999년부터 2003년까지 국내 상장기업의 재무제표를 이용한 기업 분석 자료이며, 상위 업종 업체 리스트와 기술 기여도 분석이 포함되어 있습니다.[NL][NL]2003년까지 재무재표를 이용하였으므로, 2004년에 신규 업체나 상장 폐지 업..
비지니스 > 기업분석 |
 [개인심리학] 알프레드 아들러(Alfred Adler) 이론에 기반한 미술치료프로그램 ( 3Pages )
[개인심리학] 알프레드 아들러(Alfred Adler) 이론에 기반한 미술치료프로그램 나이 : 30 이름 : 다이앤 외형적모습 : 조용하고 부드럽게 말하며 곱슬머리를 한 매력적인 여성. 유년시절 : 가족들과 헤어져 카톨릭 어린이 집과 유대인 어린이 집에서 자람. 9살되었을때 가족과 다시만나 2년 동안 같이 살았고, 10대가 되고 얼마 지나지 않아 가족중 몇몇과 함께 살게 되었다. 그뒤 2년동안 지체아 취급을 ..
리포트 > 사회과학 |
 논리회로 실험 - 555 타이머를 이용한 10진 업카운터 설계 ( 28Pages )
555 타이머를 이용한 10진 업 카운터 설계 555 타이머 BCD-to-7세그먼트 디코더 7-세그먼트 업 카운터 클럭 BCD 코드 7-세그먼트 각각에 대응 555 타이머란 비안정 멀티바이브레이터를 형성할 수있는 IC소자 단 2개의 저항과 1개의 커패시터로 출력주파수와 듀티사이클(duty cycle)을 제어 핀 구성도 1번 핀 GND : 접지에 직접적으로 연결 2번 핀 TRIGGER (TG) : 전원 전압의 1/3보다 낮은 전압이 되..
리포트 > 공학/기술 |
 영어인형극_심술이의마음 ( 9Pages )
Tom's mind (나오는 인형) 1. Tom 2,Betty 3,Teacher 4, Devil of greed 5, Devil of fight 6, Devil of lie 7, Jesus Once upon a time there was a trouble-maker whose name was Tom. He was dirty and bad boy. Everyday He used to make troubles for his friends. One day Tom was in front of a church. He was thinking how to give them a big trouble. Tom: (갑자기 튀어나오며)Hum! ..
문화예술 > 종교/초자연 |
정보, 기타
 애플,아이폰,스티브잡스,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 33Pages )
Apple Inc. in 2010 INDEX Apple Overview PC Industry Analysis Apple’s Competitive Strength 5 Force Analysis Vision APPLE’S HISTORY OVERVIEW THE BEGINNING OF APPLE Apple I did not have a case the user was required to build a box for its components. (Picture from smithsonian) apr 1,1976 Color graphics a first for a personal computer Dove the PC industry to $1 billion in a..
리포트 > 경영/경제 |
 아딸,마케팅사례,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 23Pages )
“아버지 튀김과 딸 떡볶이” 1_ SWOT분석 2_ STP전략 3_ 메뉴 및 가격 전략 4_ 촉진 전략 5_ 서비스 청사진 CONTENTS 우리 아딸은요~ 연혁 09 [문산 튀김집] 오픈 2000. 11 금호동 분식집 [자유시간] 오픈 2002. 04 [아버지튀김 딸떡볶이] 이대 1호점 오픈 2002. 05 체인 사업 시작 2007. 08 [아딸] 100호점 오픈 2010. 11 [아딸] 800호점 오픈 아딸의 연혁 Threats -가장 대중적인 음식 -고품질..
리포트 > 경영/경제 |
 LETTEROFINTENT ( 1Pages )
LETTER OF INTENT between Moscow State Pedagogical University (Russia) and Seoul National University of Education(Korea) Proceeding from the mutual desire to promote further cooperation and understanding between the people of their countries, Moscow State Pedagogical University and Seoul National University of Education have come to the following letter of intent. B..
서식 > 계약서 |
 기업과 전문 컨설턴트간의 컨설팅 계약서-영문 ( 3Pages )
기업과 전문 컨설턴트간의 컨설팅 계약서) BUSINESS CONSULTANT AGREEMENT) 영문판입니다. This Agreement dated ___ _ is made by and between ___, whose address is ___, (hereinafter referred to as "Company"), and ___, whose address is ___, (hereinafter referred to "Consultant)". [기업과 전문 컨설턴트간의 컨설팅 계약서] BU..
서식 > 계약서 |
Consultation Services, Terms of Agreement , Time Devoted by Consultant
 15 C# 컬렉션 그리그 인덱서 ( 35Pages )
컬렉션, 인덱서 자료구조란 여러 데이터들의 묶음을 저장하고, 사용하는 방법을 정의한 것이다. 데이터를 체계적으로 저장하고, 효율적으로 활용하기 위해서 자료구조를 사용한다. Ar rayList 자료구조의 특징과 컬렉션의 사용방법 정도 배워보겠습니다. Ar rayList에서 가장 중요한 메소드는 반대로 데이터를 꺼낼 때는 Dequeue() 메소드를 이용합니다. 큐는 스택과 유사하나 먼저 넣은 데이터가 먼저 나오..
정보/기술 > 소프트웨어 |
데이터, , 소드, 사용, 자료구조, 접근, 배열, , 요소, 통해, 인덱, 객체, , , , 인덱스, 가장, 늘다, 이다, 보시
 15 C# 컬렉션 그리그 인덱서 ( 35Pages )
컬렉션, 인덱서 자료구조란 여러 데이터들의 묶음을 저장하고, 사용하는 방법을 정의한 것이다. 데이터를 체계적으로 저장하고, 효율적으로 활용하기 위해서 자료구조를 사용한다. Ar rayList 자료구조의 특징과 컬렉션의 사용방법 정도 배워보겠습니다. Ar rayList에서 가장 중요한 메소드는 반대로 데이터를 꺼낼 때는 Dequeue() 메소드를 이용합니다. 큐는 스택과 유사하나 먼저 넣은 데이터가 먼저 나오..
정보/기술 > 소프트웨어 |
데이터, , 소드, 사용, 자료구조, 접근, 배열, , 요소, 통해, 인덱, 객체, , , , 인덱스, 가장, 늘다, 이다, 보시
 [디지털 회로설계] 4-Bit D Flip Flop 설계 ( 6Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) 위..
리포트 > 공학/기술 |
 Romans 7~11 ( 5Pages )
Ⅰ. Content of each chapter. 1. The seventh chapter : The Apostle speaks of the passing of the old Law of death and of the reaction of the carnal nature to the Law. 2. The eighth chapter : The Apostle shows that we must firmly adhere to the law of Christ, because His Law is one of life and spirit. 3. The ninth chapter : The Apostle sorrows overs the hardening of the Jews ..
문화예술 > 종교/초자연 |
종교
 영문 원산지증명서 ( 2Pages )
GSTP 원산지증명서 1. Goods consigned from(Exporter's business name, address, country) Reference No. PREFERENTIAL ARRANGEMENTS AMONG DEVELOPING COUNTRIES NEGOTIATED IN GATT CERTIFICATE OF ORIGIN (Combined declaration and certificate) Issued in ... (country) See Notes overleaf 2. Goods consigned to (Consignee's name, address, country) 4. For Official use..
서식 > 행정민원서식 |
 Goods consigned from ( 2Pages )
1. Goods consigned from(exporter's business name, address, country) Reference No GENERALIZED SYSTEM OF PREFERENCES CERTIFICATE OF ORIGIN (Combined declaration and certificate) FORM A REPUBLEC of KOREA Issued in (country) See notes overleaf 2. Goods consigned to(consignee's name, address, country) 3. Means of transport and route(as far as known) 4. For offi..
서식 > 행정민원서식 |
11 12 13 14 15 16 17 18 19 20