올린글을 확인할 수 있도록 포스팅을 공개로 설정해 주세요.
컴퓨터 응용 시스템 설계 실험 보고서 - verilog을 이용한 자판기 설계

Vending Machine Design

Ⅰ. 서 론
Not gate와 Nand gate 및 D-Flipflop을 사용하여 Vending Machine을 설계해보고 몇몇개의 옵션사항을 추가적으로 설계해본다.

Ⅱ. 본 론
1. (LAB 3-1) Coffee Sprite Vending Machine Design
1$의 입력을 받으며 1$짜리 Coffee와 3$의 Sprite를 선택 할 수 있는 Vending Machine을 설계 해 본다.

가. State에 따른 Segment 및 추가 LED 설계
이번 실험에서 사용된 Segment는 Anode type으로 저번 LAB1의 Topic1에서 설계한 Segment를 그대로 사용했으며 추가적으로 각각의 물품이 구입 가능한 상태를 나타내기 위해(ex.현재 1$가 입력되어 있으면 Coffee의 Led는 불이 들어오고 Sprite의 LED는 불이 들어오지 않는다.) LED를 각각의 물품에 따라 할당 해 주었다.

상태
출력
AB
LED1(Coffee)
LED2(Sprite)
0000011010101111

표.1 State에 따른 LED Truth Table

LED1=A+B

그림.1 LED1의 카노맵

LED2=A*B

그림.2 LED2의 카노맵
그림.1과 그림.2 및 이전에 설계한 Segment를 토대로 회로를 만들면 아래 그림.3과 같이 설계가 가능하다.
그림.3 State에 따른 LED 및 Segment 설계

나. 입력에 따른 State 변화 및 Encoding
이번 실험에서 사용되는 입력으로는 X1(코인),X2(커피 선택),X3(스프라이트 선택) 이렇게 총 3개이다. 입력에 따라 변화하는 State diagram을 그려보면 그림.4와 같이 [1]밀리 머신으로 설계하였으나 출력은 아직 고려하지 않고 설계를 해 보았다.

010
001
001
....

[hwp/pdf]컴퓨터 응용 시스템 설계 실험 보고서 - verilog을 이용한 자판기 설계
포스팅 주소 입력
  올린글을 확인할 수 있는 포스팅 주소를 입력해 주세요.
  네이버,다음,티스토리,스팀잇,페이스북,레딧,기타 등 각각 4개(20,000p) 까지 등록 가능하며 총 80,000p(8,000원)까지 적립이 가능합니다.